DE102013104390B4 - Verfahren für die Herstellung einer Lithografiemaske - Google Patents

Verfahren für die Herstellung einer Lithografiemaske Download PDF

Info

Publication number
DE102013104390B4
DE102013104390B4 DE102013104390.9A DE102013104390A DE102013104390B4 DE 102013104390 B4 DE102013104390 B4 DE 102013104390B4 DE 102013104390 A DE102013104390 A DE 102013104390A DE 102013104390 B4 DE102013104390 B4 DE 102013104390B4
Authority
DE
Germany
Prior art keywords
layer
etching
forming
over
tantalum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102013104390.9A
Other languages
English (en)
Other versions
DE102013104390A1 (de
Inventor
Hsin Chang Lee
Yun-Yue Lin
Pei-Cheng Hsu Hsu
Chia-Jen Chen
Ta-Cheng Lien
Anthony Yen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013104390A1 publication Critical patent/DE102013104390A1/de
Application granted granted Critical
Publication of DE102013104390B4 publication Critical patent/DE102013104390B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Abstract

Verfahren (100) für die Herstellung einer Maske (200) für extrem ultraviolettes Licht (EUV), wobei das Verfahren aufweist:Bereitstellen (102) eines Substrates (210);Ausbilden (104) einer reflektiven Mehrfachschicht (220) über einer Oberfläche des Substrates (210);Ausbilden einer leitfähigen Schicht (205) auf einer gegenüberliegenden Oberfläche des Substrates (210);Ausbilden (106) einer Pufferschicht (230) über der reflektiven Mehrfachschicht (220);Ausbilden (108) einer Absorptionsschicht (240) über der Pufferschicht (230);Ausbilden (110) einer Abdeckschicht (250) über der Absorptionsschicht (240); undÄtzen (112) der Abdeckschicht (250) und der Absorptionsschicht (240) während desselben Ätzschrittes.

Description

  • Hintergrund
  • Die Industrie für integrierte Halbleiterschaltkreise (IC) hat ein exponentielles Wachstum erfahren. Die technologischen Vorteile von IC-Materialien und -designs haben Generationen von ICs zu Tage gefördert, von denen jede Generation kleinere und komplexere Schaltkreise als die jeweils vorangegangene Generation aufweist. Mit der IC-Fortentwicklung hat sich die funktionale Dichte (d.h. die Anzahl miteinander verbundener Bauteile pro Chipbereich) grundsätzlich erhöht, während die geometrischen Abmessungen (d.h. die kleinste Komponente (oder Leitung), welche unter Verwendung eines Herstellungsprozesses erzeugt werden kann) gesunken sind.
  • Dieser Verkleinerungsprozess bietet grundsätzlich Vorteile dadurch, dass die Produktionseffizienz gesteigert und die damit verbundenen Kosten verringert werden können. Der Verkleinerungsprozess hat jedoch ebenso die Komplexität der IC-Bearbeitung und -herstellung erhöht. Damit die genannten Vorteile erreicht werden können, werden entsprechende Weiterentwicklungen bei der IC-Verarbeitung und -herstellung benötigt. Beispielsweise wird häufig Lithografie unter Verwendung extrem ultravioletter Strahlung (EUVL) dazu verwendet, einen Lithografieprozess mit höherer Auflösung zur Verfügung zu stellen. Die bei der EUV-Lithografie (und anderen hochauflösenden Lithografieverfahren) verwendeten Maskensätze stellen weitere Herausforderungen dar. Beispielsweise umfassen einige EUV-Masken eine Absorptionsschicht. Wenn die Absorptionsschicht geätzt wird, ist es wichtig, ein Minimum an Prozessschwankungen sowie an Partikelausbildung sicherzustellen. Es ist daher notwendig, in diesem Bereich Verbesserungen herbeizuführen.
  • Aus der WO 2011 / 161 243 A1 und der DE 10 2011 080 100 A1 ist ein Verfahren zum Bearbeiten eines Defekts in einer Maske für extrem ultraviolettes Licht bekannt, die zumindest ein Substrat und zumindest eine Mehrschichtstruktur aufweist. Das Verfahren umfasst lokales Verändern der Reflexion der Mehrschichtstruktur im Bereich des Defekts mit einem Elektronenstrahl.
  • Aus der DE 10 2006 046 000 A1 sind ein EUV-Maskenblank für die EUV-Lithographie und ein Verfahren zur Herstellung eines EUV-Maskenblanks für die EUV-Lithographie bekannt. Der EUV-Maskenblank umfasst ein Substrat, auf welchem in dieser Reihenfolge ein Multilayersystem, eine Capping-Schicht, eine Buffer-Schicht und eine Absorberschicht aufgebracht sind, wobei die Buffer-Schicht und die Absorberschicht zur Ausbildung einer EUV-Photomaske entfernbar sind.
  • In der US 2008 / 0 318 139 A1 sind ein Maskenblank, eine Maske und ein Verfahren zur Herstellung einer Maske offenbart. Die Maske umfasst ein Substrat, eine Mehrschichtstruktur, eine Capping-Schicht, eine Absorptionsschicht und eine Antireflexionsschicht. Die Absorptionsschicht absorbiert in einer Aufnahmewellenlänge und reflektiert in einer Untersuchungswellenlänge.
  • Figurenliste
  • Die Aspekte der vorliegenden Offenbarung werden am besten anhand der nachstehenden Beschreibung verstanden, wenn diese in Verbindung mit den begleitenden Figuren gelesen wird. Es wird darauf hingewiesen, dass gemäß der üblichen Praxis in der Industrie verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zur Klarstellung der Diskussion willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Blockdiagramm eines Lithografieprozesses für die Umsetzung eines oder mehrerer Ausführungsformen der vorliegenden Erfindung.
    • 2 ist ein Flussdiagramm eines beispielhaften Verfahrens für die Herstellung einer EUV-Maske gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3 ist eine Querschnittsansicht eines Maskenrohlings, welcher entsprechend dem Verfahren gemäß 2 konstruiert ist.
    • 4 und 5A - 5B sind Querschnittsansichten einer EUV-Maske, die gemäß dem Verfahren von 2 konstruiert sind.
  • Genaue Beschreibung
  • Die nachstehende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele für die Umsetzung unterschiedlicher Elemente der Erfindung bereit. Spezifische Beispiele für Komponenten und Anordnungen werden nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und nicht dazu vorgesehen, zu beschränken. Beispielsweise kann die Ausbildung eines ersten Elementes über einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Element in unmittelbarem Kontakt miteinander ausgebildet sind, sowie Ausführungsformen, bei welchen zusätzliche Elemente zwischen dem ersten und dem zweiten Element angeordnet sind, so dass das erste und das zweite Element nicht unmittelbar miteinander in Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszeichen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholungen dienen der Vereinfachung und Klarheit und geben selbst jedoch keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen vor.
  • Mit Bezug auf 1 wird ein EUV-Lithografiesystem 10 offenbart, welches von einer oder mehreren Ausführungsformen der vorliegenden Erfindung profitieren kann. Das EUV-Lithografiesystem 10 umfasst eine Strahlquelle 20, ein Beleuchtungsgerät 30, eine Maske 40 (bei der vorliegenden Offenbarung werden die Ausdrücke Maske sowie Fotomaske verwendet, um denselben Gegenstand zu bezeichnen), ein Projektionsoptikgehäuse (POB) 50 sowie ein Target 60, etwa einen Halbleiterwafer auf einem Substrathalter. Es können jedoch auch andere Konfigurationen denkbar sein sowie bestimmte Bauteile vorliegen oder ausgelassen werden.
  • Bei der vorliegenden Ausführungsform umfasst die Strahlquelle 20 eine Quelle, welche elektromagnetische Strahlung bereitstellt, die eine Wellenlänge im EUV-Bereich besitzt. Beispielsweise stellt die Strahlquelle 20 EUV-Strahlung mit einer Wellenlänge von ungefähr 13,5 nm bereit. Das Beleuchtungsgerät 30 kann eine refraktive Optik aufweisen, etwa eine einzelne Linse oder ein Linsensystem mit mehreren Linsen (Zonenplatten), und/oder eine reflektive Optik, etwa einen einzigen Spiegel oder ein Spiegelsystem, welches mehrere Spiegel aufweist, um Licht von der Strahlquelle 20 auf die Maske 40 umzulenken. Die Maske 40 kann eine transmissive Maske oder eine reflektive Maske sein. Bei der vorliegenden Ausführungsform ist die Maske 40 eine reflektive Maske, wie es nachstehend im Detail beschrieben wird. Die Maske 40 kann andere Techniken zur Auflösungssteigerung aufweisen, etwa eine Phasenverschiebungsmaske (PSM) und/oder optische Nahbereichskorrektur (OPC).
  • Die von der Maske 40 reflektierte elektromagnetische Strahlung (z.B. ein strukturierter Strahl) wird von dem POB 50 eingefangen. Das POB 50 kann eine refraktive Optik oder eine reflektive Optik aufweisen. Das POB 50 kann eine Vergrößerung von weniger als 1 aufweisen (dadurch wird das in der Strahlung strukturierte Bild verkleinert). Das POB 50 richtet die strukturierte Strahlung auf das Target 60 (z.B. einen Halbleiterwafer). Das Target 60 umfasst eine lichtempfindliche Schicht (z.B. einen Fotolack), welche in Bezug auf die EUV-Strahlung empfindlich ist. Das Target 60 kann mit Hilfe eines Targetsubstrathalters gehalten sein. Der Targetsubstrathalter bietet eine Kontrolle über die Position des Targetsubstrats in einer Weise, dass das Abbild wiederholt auf das Targetsubstrat durchleuchtet werden kann (obwohl auch andere Lithografieverfahren denkbar sind). Das Lithografiesystem 10 oder Teile dieses können eine Vakuumatmosphäre umfassen.
  • Die nachstehende Beschreibung bezieht sich auf die Maske 40 und einen Maskenherstellungsprozess. Der Maskenherstellungsprozess umfasst zwei Schritte: den Herstellungsprozess eines Maskenrohlings sowie einen Maskenstrukturierungsprozess. Während des Maskenrohlingherstellungsprozesses wird ein Maskenrohling durch Abscheiden geeigneter Schichten (z.B. mehrerer reflektiver Schichten) auf einem geeigneten Substrat ausgebildet. Der Maskenrohling wird während des Maskenstrukturierungsprozesses derart strukturiert, dass er eine Gestalt einer Schicht eines integrierten Schaltkreisbauteils (oder eines Chips) aufweist. Die strukturierte Maske wird dann dazu verwendet, um Schaltkreismuster (z.B. die Gestalt einer Schicht eines IC-Bauteils) auf einen Halbleiterwafer zu übertragen. Die Muster können wiederholt auf mehrere Wafer mit Hilfe verschiedener Lithografieprozesse übertragen werden. Verschiedene Masken (beispielsweise ein Satz von 15 bis 30 Masken) können dazu verwendet werden, um ein vollständiges IC-Bauteil zu konstruieren.
  • Grundsätzlich werden verschiedene Masken hergestellt, um in verschiedenen Prozessen verwendet zu werden. Bei einem EUV-Lithografieverfahren werden verschiedene Arten von EUV-Masken verwendet, einschließlich Masken mit binärer Intensität (BIM) sowie Phasenverschiebungsmasken (PSM). Ein Beispiel für eine BIM umfasst einen annähernd vollständig absorbierenden Bereich (ebenso als ein lichtundurchlässiger Bereich bezeichnet) sowie einen reflektiven Bereich. Bei dem lichtundurchlässigen Bereich liegt ein Absorber vor, wobei ein einfallender Lichtstrahl annähernd vollständig von dem Absorber absorbiert wird. Bei dem reflektiven Bereich wird der Absorber entfernt und das einfallende Licht wird durch eine Mehrfachschicht (ML) reflektiert. Eine PSM umfasst einen absorbierenden Bereich sowie einen reflektierenden Bereich. Ein Anteil des einfallenden Lichtes wird von dem absorbierenden Bereich mit einer geeigneten Phasenverschiebung bezüglich des von dem reflektiven Bereich reflektierten Lichtes reflektiert, um die Auflösung und Bildqualität zu verbessern. Die PSM kann eine abschwächende PSM (AltPSM) oder eine schwankende PSM (AttPSM) sein. Eine AttPSM reflektiert gewöhnlicherweise zwischen 2% und 15% von ihrem Absorber, während eine AltPSM gewöhnlicherweise eine Reflektivität von mehr als 50% von ihrem Absorber aufweist.
  • 2 ist ein Flussdiagramm eines Verfahrens 100 für die Herstellung einer EUV-Maske, welche gemäß den Aspekten der vorliegenden Offenbarung konstruiert ist. Die 3 ist eine Teilansicht einer Ausführungsform eines Maskenrohlings 200 bei verschiedenen Herstellungsstadien des Verfahrens 100. Der Maskenrohling 200 und das Verfahren 100 für die Herstellung desselben werden gemeinschaftlich mit Bezug auf die 2 und 3 beschrieben. Die 4 und 5 sind Teilansichten einer EUV-Maske 300 bei verschiedenen Herstellungsstadien des Verfahrens 100. Die EUV-Maske 300 und das Herstellungsverfahren 100 desselben werden gemeinschaftlich mit Bezug auf die 2 und die 2 - 4 beschrieben.
  • Mit Bezug auf die 2 und 3 beginnt das Verfahren 100 bei dem Schritt 102 durch das Bereitstellen eines Substrates 210. Das Substrat 210 kann ein Substrat umfassen, welches aus einem Material mit niedriger thermischer Ausdehnung (LTEM) hergestellt ist. Das LTEM-Material kann TiO2-dotiertes SiO2 aufweisen und/oder andere Materialien mit niedriger thermischer Ausdehnung, welche aus dem Stand der Technik bekannt sind. Das LTEM-Substrat 210 dient dazu, die Bildfehler aufgrund der Maskenerwärmung zu minimieren. Bei dieser Ausführungsform umfasst das LTEM-Substrat 210 Material mit einem niedrigen Störstellenniveau und einer ebenen Oberfläche. Darüber hinaus kann zum Zwecke der elektrostatischen Verspannung eine leitfähige Schicht 205 auf einer ersten Oberfläche des Substrates 210 beschichtet sein (wie es in der Fig. gezeigt ist). Die leitfähige Schicht 205 kann Chromnitrid (CrN), Tantalnitrid (TaN), Tantalbornitrid (TaBN), oder Tantaloxinitrid (TaON) aufweisen, obwohl auch andere Zusammensetzungen möglich sind.
  • Das Verfahren 100 setzt mit dem Schritt 104 durch das Bereitstellen einer reflektiven Mehrfachschicht (ML) 220 über einer zweiten gegenüberliegenden Oberfläche des LTEM-Substrates 210 fort. Gemäß der Fresnel-Gleichung tritt Lichtreflexion auf, wenn das Licht durch die Grenzfläche zwischen zwei Materialien mit unterschiedlichen Brechungsindizes hindurchtritt. Der Anteil des reflektierten Lichtes steigt mit dem Unterschied der Brechungsindizes. Um den Anteil des reflektierten Lichtes zu erhöhen, kann darüber hinaus die Anzahl der Grenzflächen durch das Abscheiden der ML 220 mit sich abwechselnden Materialien erhöht werden. Dadurch wird erreicht, dass an unterschiedlichen Grenzflächen reflektiertes Licht konstruktiv interferiert, indem eine geeignete Dicke für jede Schicht innerhalb der ML 220 ausgewählt wird. Die Absorption der verwendeten Materialien für die ML 220 beschränkt jedoch die maximal mögliche Reflektivität und das ML 220 umfasst eine Mehrzahl Schichtpaare, etwa Molybdänum-Silizium (Mo/Si)-Filmpaare (z.B. eine Molybdänschicht über oder unterhalb einer Siliziumschicht innerhalb jedes Filmpaares). Alternativ umfasst die ML 220 Molybdänum-Beryllium (Mo/Be)-Filmpaare, es kann jedoch auch jedes andere Material für die ML 220 verwendet werden, welches hochgradig reflektiv bei EUV-Wellenlängen ist. Die Dicke jeder Schicht der ML 220 hängt von der EUV-Wellenlänge und dem Einfallswinkel ab. Die Dicke der ML 220 wird derart abgestimmt, dass eine maximale konstruktive Interferenz des an jeder Grenzfläche reflektierten EUV-Lichtes bei minimaler Absorption des EUV-Lichtes durch die ML 220 erreicht wird. Die ML 220 kann derart ausgewählt sein, dass sie für eine bestimmte Strahlungsart bzw. eine bestimmte Wellenlänge eine hohe Reflektivität aufweist. Eine typische Anzahl Filmpaare liegt zwischen 20 und 80, es ist jedoch auch jede andere Anzahl Filmpaare denkbar. Bei einer Ausführungsform umfasst die ML 220 40 Schichtpaare von Mo/Si. Jedes Mo/Si-Filmpaar weist eine Dicke von ungefähr 7 nm auf, bei einer Gesamtdicke von 280 nm. In diesem Falle wird eine Reflektivität von ungefähr 70% erreicht.
  • Das Verfahren setzt mit dem Schritt 106 fort, in dem eine Pufferschicht 230 über der ML 220 ausgebildet wird. Die Pufferschicht 230 dient als eine Ätzstoppschicht bei einem darauffolgenden Strukturierungs- oder einem Reparaturprozess einer Absorptionsschicht, was weiter unten noch im Detail beschrieben wird. Die Pufferschicht 230 umfasst Ruthenium (Ru) oder Ru-Verbindungen wie RuB und RuSi. Für die Pufferschicht 230 wird häufig ein Abscheidungsprozess bei niedrigen Temperaturen verwendet, um die ML 220 vor Austauschdiffusion zu bewahren. Bei der vorliegenden Ausführungsform umfasst die Pufferschicht 230 Ru.
  • Das Verfahren 100 setzt mit dem Schritt 108 fort, bei dem eine Absorptionsschicht 240 über der Pufferschicht 230 ausgebildet wird. Die Absorptionsschicht 240 absorbiert vorzugsweise Strahlen im EUV-Wellenlängenbereich, welche auf eine strukturierte EUV-Maske 300 gerichtet werden. Die Absorptionsschicht 240 umfasst eine einzige Schicht oder mehrere Schichten aus der Gruppe Chrom, Chromoxid, Titannitrid, Tantalnitrid, Tantal, Titan oder Aluminium-Kupfer, Palladium, Tantalbornitrid, Aluminiumoxid, Molybdänum oder andere geeignete Materialien. Durch eine geeignete Schichtabfolge kann die Absorptionsschicht 240 bei einem darauffolgenden Ätzprozess durch die Bereitstellung unterschiedlicher Ätzcharakteristika jedes Films eine gewisse Prozessflexibilität bereitstellen. Bei der vorliegenden Ausführungsform umfasst ein Material der Absorptionsschicht 240 TaBN, TaN und TaON.
  • Das Verfahren 100 setzt mit dem Schritt 110 der Ausbildung einer Abdeckschicht 250 über der Absorptionsschicht 240 fort, um Oxidation der Absorptionsschicht 240 zu vermeiden sowie um eine bessere Prozesssteuerung zu erhalten. Die Abdeckschicht 250 umfasst Chrom, Tantal, Titan, Molybdänum, Aluminium, Silizium und deren oxidische oder oxinitridische Verbindungen. Bei einer Ausführungsform wird ein Material der Abdeckschicht 250 derart ausgewählt, dass es eine adäquate Ätzrate bei einem nachfolgenden Ätzprozess der Absorptionsschicht aufweist, bei dem dieselben Ätzchemikalien und Ätzparameter verwendet werden. Die Zusammensetzung der Metallverbindung der Abdeckschicht 250 kann wie folgt ausgewählt werden: MxOy oder MxOyNz (wobei M ein Metall repräsentiert) und wobei die Werte x, y, z jeweils zwischen 0 und 1 liegen. Beispielsweise umfasst die Abdeckschicht 250 Cr. Bei einer anderen Ausführungsform wird ein Material der Abdeckschicht 250 derart ausgewählt, dass es eine sich deutlich unterscheidende Ätzrate zu der Absorptionsschicht 250 in einem darauffolgenden Ätzprozess der Absorptionsschicht aufweist.
  • Eine oder mehrere der Schichten 205, 220, 230, 240 und 250 können mit Hilfe verschiedener Verfahren ausgebildet sein, einschließlich physikalischer Dampfabscheidung (PVD), etwa mittels Verdampfung und DC-Magnetronsputtern, mit Hilfe eines Plattierungsprozesses, etwa stromlosem Plattieren oder Elektroplattieren, mit Hilfe eines chemischen Dampfabscheidungsverfahrens (CVD), etwa CVD bei Atmosphärendruck (APCVD), CVD bei Niederdruck (LPCVD), Plasma-verstärktes CVD (PECVD) oder CVD bei hochdichtem Plasma (HDPCVD), mit Hilfe von Ionenstrahlabscheidung, Spin-on-Beschichtung, metallorganischer Zersetzung (MOD), atomarer Schichtabscheidung (ALD) und/oder mit Hilfe anderer aus dem Stand der Technik bekannter Verfahren.
  • Mit Bezug auf die 2 und die 4 - 5 setzt das Verfahren 100 mit dem Schritt 112 dem Ätzen eines Anteils der Abdeckschicht 250 und der Absorptionsschicht 240 fort, um eine EUV-Maske 300 auszubilden. Als erstes wird ein Lithografiestrukturierungsprozess angewendet, um IC-Muster auf dem Maskenrohling 200 festzulegen. Der Strukturierungsprozess umfasst das Fotolackbeschichten (z.B. mit Hilfe von Spin-on-Coating), das Vorhärten, das Targetausrichten, das Belichten, das Härten nach der Belichtung, das Entwickeln des Fotolacks, das Spülen, das Trocknen (z.B. das Durchhärten) oder andere geeignete Prozesse und/oder Kombinationen dieser. Alternativ wird der Fotolithografiebelichtungsprozess durch andere geeignete Verfahren umgesetzt oder ersetzt, etwa durch maskenlose Fotolithografie, Elektronenstrahlschreiben, unmittelbares Beschreiben und/oder durch Ionenstrahlschreiben.
  • Als nächstes folgt ein Ätzprozess, um Anteile der Abdeckschicht 250 und der Absorptionsschicht 240 zu entfernen, um die EUV-Maske 300 auszubilden. Mit der als Ätzmaske dienenden strukturierten Fotolackschicht werden die darunterliegenden Schichten (z.B. die Abdeckschicht 250 und die Absorptionsschicht 240) durch die Öffnungen der strukturierten Fotolackschicht hindurch geätzt, während die Anteile der darunterliegenden Schichten, welche von der Fotolackschicht bedeckt sind, erhalten bleiben. Der Ätzprozess kann Trockenätzen (Plasmaätzen), Nassätzen und/oder andere Ätzverfahren umfassen. Beispielsweise kann ein Trockenätzprozess ein Sauerstoff-enthaltendes Gas, ein Fluor-enthaltendes Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein Chlor-enthaltendes Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein Brom-enthaltendes Gas (z.B. HBr und/oder CHBr3), ein Jod-enthaltendes Gas oder geeignete Gase und/oder Plasmen und/oder Kombinationen dieser umfassen. Nach dem Ätzprozess kann die strukturierte Fotolackschicht mit Hilfe geeigneter Techniken wie Abziehen oder Veraschen entfernt werden.
  • Bei einer Ausführungsform wird ein kontinuierlicher Trockenätzprozess ausgeführt, um die Abdeckschicht 250 und die Absorptionsschicht 240 gemeinsam zu entfernen, wie es in 4 gezeigt ist. Die Abdeckschicht 250 und die Absorptionsschicht 240 werden während eines einzigen Schrittes mit derselben Ätzchemie und bei denselben Ätzparametern wie Gase, Gasflüsse, Leistung und Druck geätzt. Beispielsweise verwendet das Trockenätzen ein Halogenätzgas, etwa Cl oder SF6 gemischt mit O2. Das Ätzen startet mit dem Ätzen der Abdeckschicht 250 und geht kontinuierlich in das Ätzen der Absorptionsschicht 250 über. Somit werden sowohl die Abdeckschicht 250 als auch die Absorptionsschicht 240 durch Chlor- und Sauerstoff-basierte Gase während eines gemeinsamen Schrittes geätzt. Ein einschrittiger Ätzprozess ist aufgrund seiner geringeren Prozesskomplexität und aufgrund der geringeren Prozessstörungen vorteilhaft. Prozessstörungen können durch das Wechseln der Ätzchemie und der Ätzparameter verursacht werden, was gewöhnlicherweise verschiedene Zwischenprozesse erfordert, etwa das Herausbefördern von Rückständen einer vorangegangenen Ätzchemie, das Einleiten einer neuen Ätzchemie, das Zuführen und Stabilisieren der neuen Ätzchemie, usw. Prozessschwankungen können Bedenken hinsichtlich der Ausbildung von Partikeln mit sich bringen und dazu führen, dass ein zusätzlicher Reinigungsprozess während oder nach dem Ätzprozess durchgeführt wird.
  • Bei einer anderen Ausführungsform wird ein mehrschrittiger Ätzprozess dazu verwendet, um die EUV-Maske 300 auszubilden. Beispielsweise wird ein zweischrittiger Ätzprozess durchgeführt, um die Abdeckschicht 250 zuerst zu ätzen (als anfängliches Ätzen bezeichnet), um eine strukturierte Abdeckschicht 255 auszubilden, wie es in 5A gezeigt ist. Die Abdeckschicht 250 wird mit Hilfe eines ersten Ätzprozesses geätzt, welcher ein oder mehrere Gase aus der Gruppe bestehend aus Chlor (Cl2) und Schwefelhexachlorid (SF6), gemischt mit Sauerstoff (O2), Cl2 und SF6 verwendet. Daraufhin wird die Absorptionsschicht 240 während eines zweiten Ätzprozesses geätzt, welcher eines oder mehrere Gase aus der Gruppe bestehend aus Cl2 und SF6 gemischt mit Inertgas, Cl2, SF6, Cl2 kombiniert mit SF6 sowie SF6 gemischt mit Sauerstoff (O2) verwendet. Bei einer Ausführungsform wird die strukturierte Fotolackschicht nach dem ersten Ätzprozess entfernt. Ein zweiter Ätzprozess wird durchgeführt, um die Absorptionsschicht 240 unter Verwendung der strukturierten Abdeckschicht als eine Ätzmaske zu ätzen, wie es in 5B gezeigt ist. Der zweite Ätzprozess ist darauf ausgelegt, eine im Wesentlichen hohe Ätzselektivität in Bezug auf die Pufferschicht 230 aufzuweisen. Bei einer anderen Ausführungsform dienen sowohl die strukturierte Fotolackschicht als auch die strukturierte Abdeckschicht 250 als die Ätzmaske in dem zweiten Ätzschritt. Die strukturierte Fotolackschicht wird nach dem zweiten Ätzen entfernt.
  • Ein mehrschrittiger Ätzprozess bietet mehrere Ätzstadien, wobei jedes Ätzstadium seine eigene Ätzselektivität und sein eigenes Ätzprofil erreichen kann, indem unterschiedliche Ätzchemikalien und -parameter verwendet werden, etwa Gase, Gasflüsse, Leistungen und Drücke. Ein mehrschrittiger Ätzprozess ist aufgrund seiner Ätzselektivität und seines Ätzprofils vorteilhaft. Ein mehrschrittiger Ätzprozess weist ebenso den Vorteil auf, dass er eine Steuerung bezüglich kritischer Prozessparameter (CD) erlaubt.
  • Die EUV-Maske 300 kann andere die Auflösung verbessernde Techniken umfassen, etwa eine optische Nahfeldkorrektur (OPC). Die EUV-Maske 300 kann mit Hilfe eines Maskenreparatursystems einem Fehlstellenreparaturprozess unterzogen werden. Das Maskenreparatursystem ist ein geeignetes System, etwa ein e-Strahlreparatursystem und/oder ein Reparatursystem mit fokussiertem Ionenstrahl (FIB).
  • Auf Grundlage des Vorangegangenen ist zu erkennen, dass die vorliegende Offenbarung ein Herstellungsverfahren für eine EUV-Maske bereitstellt. Das Verfahren liefert eine Konfiguration von Materialien einer Absorptionsschicht und ihrer Abdeckschicht und umfasst einen einschrittigen Ätzprozess, um sowohl die Abdeckschicht als auch die Absorptionsschicht mit im Wesentlichen derselben Ätzchemie und mit im Wesentlichen denselben Ätzparametern zu ätzen. Das Verfahren zeichnet sich durch seine Schwankungsstabilität sowie die geringfügige Ausbildung von Partikeln während des Ätzprozesses für die Strukturierung der Absorptionsschicht aus. Alternativ bietet das Verfahren ebenso einen mehrschrittigen Ätzprozess, um eine gewünschte Ätzselektivität und ein gewünschtes Ätzprofil zu erreichen.
  • Die vorliegende Offenbarung stellt viele unterschiedliche Ausführungsformen für die Herstellung einer EUV-Maske bereit, welche jeweils eine oder mehrere Verbesserungen gegenüber dem Stand der Technik aufweisen. Bei einer Ausführungsform umfasst ein Verfahren für die Herstellung einer Maske für extrem ultraviolettes Licht das Bereitstellen eines Substrates, das Ausbilden einer reflektiven Mehrfachschicht (ML) über dem Substrat, das Ausbilden einer Pufferschicht über der reflektiven ML, das Ausbilden einer Absorptionsschicht über der Pufferschicht und das Ausbilden einer Abdeckschicht über der Absorptionsschicht. Die Abdeckschicht und die Absorptionsschicht werden geätzt, um die EUV-Maske auszubilden.
  • Bei einer anderen Ausführungsform umfasst ein Verfahren für die Herstellung einer Maske für extrem ultraviolettes Licht (EUV) ein Substrat aus einem Material mit niedriger thermischer Ausdehnung (LTEM), das Ausbilden einer reflektiven Mehrfachschicht (ML) über dem LTEM-Substrat, das Ausbilden einer Pufferschicht über der reflektiven ML, das Ausbilden einer Absorptionsschicht über der Pufferschicht, das Ausbilden einer Abdeckschicht über der Absorptionsschicht und das Durchführen eines kontinuierlichen Plasmatrockenätzens, um sowohl die Abdeckschicht als auch die Absorptionsschicht mit denselben Ätzgasen und denselben Ätzparametern zu ätzen.
  • Bei noch einer anderen Ausführungsform umfasst ein Verfahren für die Herstellung einer Maske für extrem ultraviolettes Licht (EUV) das Beschichten einer leitfähigen Schicht, etwa Chromnitrid (CrN), Tantalnitrid (TaN), Tantalbornitrid (TaBN), Tantaloxinitrid (TaON), an einer ersten Oberfläche eines Substrates, das Bereitstellen einer reflektiven Mehrfachschicht (ML) an einer zweiten gegenüberliegenden Oberfläche des Substrates, das Ausbilden einer Ruthenium (Ru)-Pufferschicht über der reflektiven ML, das Ausbilden einer Absorptionsschicht auf der Ru-Pufferschicht, wobei die Absorptionsschicht Materialien aus der Gruppe bestehend aus Tantalnitrid, Tantaloxinitrid und Tantalbornitrid umfasst, das Ausbilden einer Abdeckschicht auf der Absorptionsschicht, wobei die Abdeckschicht Materialien aus der Gruppe bestehend aus Chrom, Chromnitrid, Chromoxinitrid und Chromoxid umfasst, und das Durchführen eines mehrschrittigen Ätzprozesses, um die Abdeckschicht und die Absorptionsschicht zu ätzen.

Claims (14)

  1. Verfahren (100) für die Herstellung einer Maske (200) für extrem ultraviolettes Licht (EUV), wobei das Verfahren aufweist: Bereitstellen (102) eines Substrates (210); Ausbilden (104) einer reflektiven Mehrfachschicht (220) über einer Oberfläche des Substrates (210); Ausbilden einer leitfähigen Schicht (205) auf einer gegenüberliegenden Oberfläche des Substrates (210); Ausbilden (106) einer Pufferschicht (230) über der reflektiven Mehrfachschicht (220); Ausbilden (108) einer Absorptionsschicht (240) über der Pufferschicht (230); Ausbilden (110) einer Abdeckschicht (250) über der Absorptionsschicht (240); und Ätzen (112) der Abdeckschicht (250) und der Absorptionsschicht (240) während desselben Ätzschrittes.
  2. Verfahren nach Anspruch 1, bei dem die Absorptionsschicht (240) ein oder mehrere Materialien aus der Gruppe bestehend aus Chrom, Chromoxid, Titannitrid, Tantalnitrid, Tantal, Titan, Aluminium-Kupfer, Palladium, Tantalbornitrid, Aluminiumoxid, Molybdän, Molybdän-Silizium, Molybdän-Siliziumoxinitrid und Molybdän-Siliziumnitrid umfasst.
  3. Verfahren nach Anspruch 1 oder 2, bei dem die Abdeckschicht (250) ein oder mehrere Materialien aus der Gruppe bestehend aus Chrom (Cr), Tantal (Ta), Titan (Ti), Molybdän (Mo), Aluminium (Al) und Silizium umfasst.
  4. Verfahren nach Anspruch 1 oder 2, bei dem die Abdeckschicht (250) Metallverbindungen aus der Gruppe bestehend aus MxOy, MxNy und MxOyNz umfasst.
  5. Verfahren nach Anspruch 4, bei dem x, y und z Werte zwischen 0 und 1 sind.
  6. Verfahren nach einem der vorhergehenden Ansprüche, bei dem der gemeinsame Ätzschritt (112) dieselben Ätzparameter sowohl für das Ätzen der Abdeckschicht (250) als auch das Ätzen der Absorptionsschicht (240) verwendet.
  7. Verfahren nach Anspruch 6, bei dem der gemeinsame Ätzschritt (112) ein Plasmatrockenätzschritt ist, der Halogengase, umfassend Cl und SF6 gemischt mit O2, verwendet.
  8. Verfahren (100) für die Herstellung einer Maske (200) für extrem ultraviolettes Licht (EUV), wobei das Verfahren aufweist: Bereitstellen (102) eines Substrates (210) aus einem Material mit niedriger thermischer Ausdehnung (low thermal expansion material, LTEM); Ausbilden (104) einer reflektiven Mehrfachschicht (220) über dem LTEM-Substrat (210); Ausbilden (106) einer Pufferschicht (230) über der reflektiven Mehrfachschicht (220); Ausbilden (108) einer Absorptionsschicht (240) über der Pufferschicht (230); Ausbilden (110) einer Abdeckschicht (250) über der Absorptionsschicht (240); und Durchführen (112) eines kontinuierlichen Plasmatrockenätzschrittes, um sowohl die Abdeckschicht (250) als auch die Absorptionsschicht (240) während desselben Ätzschrittes zu ätzen.
  9. Verfahren nach Anspruch 8, bei dem die Absorptionsschicht (240) Materialien aus der Gruppe bestehend aus Tantalnitrid, Tantal, Titan, Aluminium-Kupfer, Palladium, Tantalbornitrid und Aluminiumoxid umfasst.
  10. Verfahren nach Anspruch 8 oder 9, bei dem die Abdeckschicht (250) Materialien aus der Gruppe bestehend aus Chrom (Cr), Tantal (Ta), Titan, Silizium, Aluminium, Molybdänum, oxidische Verbindungen dieser, nitridische Verbindungen dieser und oxinitridische Verbindungen dieser umfasst.
  11. Verfahren nach einem der Ansprüche 8 bis 10, bei dem die Pufferschicht (230) Ru umfasst.
  12. Verfahren nach einem der Ansprüche 8 bis 11, bei dem der kontinuierliche Plasmaätzschritt dieselbe Gasmischung für das Ätzen der Abdeckschicht (250) als auch für das Ätzen der Absorptionsschicht (240) verwendet, wobei die Gasmischung ein Chlor-enthaltendes Gas und ein Sauerstoff-enthaltendes Gas umfasst.
  13. Verfahren nach einem der Ansprüche 8 bis 12, bei dem das kontinuierliche Plasmaätzen im Wesentlichen dieselben Ätzparameter sowohl für die Abdeckschicht (250) als auch für die Absorptionsschicht (240) verwendet.
  14. Verfahren nach Anspruch 13, bei dem die Ätzparameter einen oder mehrere Parameter aus der Gruppe bestehend aus Gasfluss, Leistung und Druck umfassen.
DE102013104390.9A 2012-08-01 2013-04-30 Verfahren für die Herstellung einer Lithografiemaske Active DE102013104390B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/564,334 2012-08-01
US13/564,334 US8679707B2 (en) 2012-08-01 2012-08-01 Method of fabricating a lithography mask

Publications (2)

Publication Number Publication Date
DE102013104390A1 DE102013104390A1 (de) 2014-02-06
DE102013104390B4 true DE102013104390B4 (de) 2018-05-09

Family

ID=49944105

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013104390.9A Active DE102013104390B4 (de) 2012-08-01 2013-04-30 Verfahren für die Herstellung einer Lithografiemaske

Country Status (3)

Country Link
US (1) US8679707B2 (de)
DE (1) DE102013104390B4 (de)
TW (1) TWI490633B (de)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
US9316900B2 (en) 2013-10-11 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9075316B2 (en) * 2013-11-15 2015-07-07 Globalfoundries Inc. EUV mask for use during EUV photolithography processes
US9261774B2 (en) * 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9429835B2 (en) * 2014-02-12 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of photomask with reduction of electron-beam scatterring
US9529250B2 (en) 2014-10-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask with ITO absorber to suppress out of band radiation
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
US9709884B2 (en) 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
US9897910B2 (en) 2014-12-24 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
US9535317B2 (en) 2014-12-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
US10274819B2 (en) 2015-02-05 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. EUV pellicle fabrication methods and structures thereof
US9933699B2 (en) 2015-03-16 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle aging estimation and particle removal from pellicle via acoustic waves
US9678431B2 (en) 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability
US9823585B2 (en) 2015-03-31 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV focus monitoring systems and methods
US9625824B2 (en) 2015-04-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd Extreme ultraviolet lithography collector contamination reduction
US9588417B2 (en) 2015-05-28 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask pellicle
US10036951B2 (en) 2015-05-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and fabrication methods thereof
US9766536B2 (en) 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US9885952B2 (en) 2015-07-29 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of EUV mask cleaning
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US9857679B2 (en) 2015-08-21 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and fabricating the same
US9835940B2 (en) 2015-09-18 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to fabricate mask-pellicle system
US10468249B2 (en) 2015-09-28 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a middle layer
KR102469807B1 (ko) 2015-10-28 2022-11-23 에스케이하이닉스 주식회사 반사형 포토마스크의 제조방법
US9665000B1 (en) 2015-11-16 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for EUV mask cleaning with non-thermal solution
US9910350B2 (en) 2015-11-16 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for repairing a mask
US9759997B2 (en) 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
US9886543B2 (en) 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process
US9791771B2 (en) 2016-02-11 2017-10-17 Globalfoundries Inc. Photomask structure with an etch stop layer that enables repairs of detected defects therein and extreme ultraviolet(EUV) photolithograpy methods using the photomask structure
US9891528B2 (en) 2016-05-02 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography with reduced exposure dose and negative tone development
US10108095B2 (en) 2016-05-31 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Automated mask storage and retrieval system
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US9870612B2 (en) 2016-06-06 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing a mask
KR20180012906A (ko) 2016-07-27 2018-02-07 삼성전자주식회사 반도체 소자의 제조 방법
US10520805B2 (en) 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10394114B2 (en) 2016-08-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chromeless phase shift mask structure and process
US10514597B2 (en) 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
US10001701B1 (en) * 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
US10162258B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle fabrication methods and structures thereof
US11086209B2 (en) 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
US10915017B2 (en) 2017-08-31 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-function overlay marks for reducing noise and extracting focus and critical dimension information
DE102018117690A1 (de) 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-Funktions-Überlagerungsmarken zum Reduzieren von Rauschen und Extrahieren von Informationen über Fokus und kritische Abmessung
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
EP3486721A1 (de) 2017-11-17 2019-05-22 IMEC vzw Maske für extrem-uv-lithographie und verfahren zur deren herstellung
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US11294293B2 (en) 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
US11294271B2 (en) 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography
DE102020120884A1 (de) 2020-08-07 2022-02-10 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Ätzen einer Lithographiemaske

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
US20080318139A1 (en) 2007-06-22 2008-12-25 Advanced Mask Technology Center Gmbh & Co. Kg Mask Blank, Photomask and Method of Manufacturing a Photomask
WO2011161243A1 (en) 2010-06-23 2011-12-29 Carl Zeiss Sms Gmbh Method and apparatus for analyzing and / or repairing of an euv mask defect
DE102011080100A1 (de) 2011-07-29 2013-01-31 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zum Bearbeiten von Defekten eines optischen Elements für den EUV Bereich

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6178221B1 (en) * 1998-12-04 2001-01-23 Advanced Micro Devices, Inc. Lithography reflective mask
US6998202B2 (en) * 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP5372455B2 (ja) 2008-10-04 2013-12-18 Hoya株式会社 反射型マスクブランク及び反射型マスク、並びにこれらの製造方法
EP2600388B1 (de) * 2010-07-27 2014-10-08 Asahi Glass Company, Limited Substrat mit einer reflektierenden schicht für euv-lithographie sowie reflektierender maskenrohling für euv-lithographie
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
US20080318139A1 (en) 2007-06-22 2008-12-25 Advanced Mask Technology Center Gmbh & Co. Kg Mask Blank, Photomask and Method of Manufacturing a Photomask
WO2011161243A1 (en) 2010-06-23 2011-12-29 Carl Zeiss Sms Gmbh Method and apparatus for analyzing and / or repairing of an euv mask defect
DE102011080100A1 (de) 2011-07-29 2013-01-31 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zum Bearbeiten von Defekten eines optischen Elements für den EUV Bereich

Also Published As

Publication number Publication date
TWI490633B (zh) 2015-07-01
US20140038088A1 (en) 2014-02-06
DE102013104390A1 (de) 2014-02-06
TW201407261A (zh) 2014-02-16
US8679707B2 (en) 2014-03-25

Similar Documents

Publication Publication Date Title
DE102013104390B4 (de) Verfahren für die Herstellung einer Lithografiemaske
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE10144894B4 (de) Verfahren zur Herstellung einer Phasenverschiebungsmaskenvorform und Verfahren zur Herstellung einer Phasenverschiebungsmaske
DE60221404T2 (de) Phasenschiebermaske für die euv-lithographie mit glatter oberfläche (damascene-struktur)
DE102009014610A1 (de) Fotomaskenrohling, Fotomaske und Verfahren zu ihrer Herstellung
DE102009014609A1 (de) Fotomaskenrohling, Fotomaske und Verfahren zu ihrer Herstellung
DE102015104473B4 (de) Retikel und verfahren zu seiner herstellung
DE102020114852A1 (de) Lithographiemaske mit amorpher abdeckschicht
DE102005027697A1 (de) EUV-Reflexionsmaske und Verfahren zu deren Herstellung
DE102021114398A1 (de) Euv-maskenabsorber mit tantal-basierter legierung
DE102017122398B4 (de) Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage
US20210255538A1 (en) Mask blank, phase shift mask, and method for manufacturing semiconductor device
DE102018114910B4 (de) Leistungssteigerung von metallischem EUV-Resist durch Additive und entsprechende Verfahren
DE102013108872A1 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
DE102015112858B4 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
DE112004002199B4 (de) Verfahren zur Herstellung einer Extrem-Ultraviolettstrahlung reflektierenden Maske unter Verwendung von Rasterkraftmikroskop-Lithographie
DE102009053586A1 (de) Photomaskenrohling, Herstellungsverfahren für Photomaskenrohling und Herstellungsverfahren für Photomaske
DE102020102450B4 (de) EUV-Fotomasken und Herstellungsverfahren von diesen
US9995999B2 (en) Lithography mask
DE102016209765B4 (de) Fotomasken für die Extrem-Ultraviolett-Lithografie sowie deren Herstellungsverfahren
DE102015104687B4 (de) Verfahren für einen extrem-ultraviolett-lithografie-prozess
DE102022100087A1 (de) Extrem-ultraviolett-maske mit legierungsbasierten absorbern
DE102012107757A1 (de) Verfahren zum Herstellen einer lithographischen Maske
DE102020129846A1 (de) Euv-fotomasken und herstellungsverfahren dafür
DE102022109191A1 (de) Zwischengitterartiger absorber für extrem-ultraviolettmaske

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final