TW201407261A - 極紫外線光罩的形成方法 - Google Patents

極紫外線光罩的形成方法 Download PDF

Info

Publication number
TW201407261A
TW201407261A TW102125343A TW102125343A TW201407261A TW 201407261 A TW201407261 A TW 201407261A TW 102125343 A TW102125343 A TW 102125343A TW 102125343 A TW102125343 A TW 102125343A TW 201407261 A TW201407261 A TW 201407261A
Authority
TW
Taiwan
Prior art keywords
layer
forming
etching
etch
cap layer
Prior art date
Application number
TW102125343A
Other languages
English (en)
Other versions
TWI490633B (zh
Inventor
Hsin-Chang Lee
Yun-Yue Lin
Pei-Cheng Hsu
Chia-Jen Chen
Ta-Cheng Lien
Anthony Yen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201407261A publication Critical patent/TW201407261A/zh
Application granted granted Critical
Publication of TWI490633B publication Critical patent/TWI490633B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Abstract

本發明之極紫外線光罩的形成方法,包括:提供基板,形成反射式多層結構於基板上,形成緩衝層於反射式多層結構上,形成吸收層於緩衝層上,以及形成蓋層於吸收層上。蝕刻蓋層與吸收層即形成極紫外線光罩。

Description

極紫外線光罩的形成方法
本發明係關於極紫外線光罩,更特別關於其形成方法。
半導體積體電路(IC)產業呈指數成長。IC材料與設計的技術進步,使每一代的IC比前一代的IC更小且其電路更複雜。新一代的IC具有較大的功能密度(比如固定晶片面積中的內連線元件數目),與較小的尺寸(比如製程形成的最小構件或連線)。
製程尺寸縮小往往有利於增加製程效率並降低相關成本,但亦增加製程複雜度。然而製程尺寸縮小的優點顯而易見,因此需要更小的IC製程。舉例來說,極紫外線微影(EUVL)常用以提供更高解析度的微影製程。用於EUV(與其他更高解析度)中的光罩組將面臨新的挑戰。舉例來說,某些EUV光罩包括吸收層。蝕刻吸收層的重點在於降低製程干擾與減少微粒生成。綜上所述,目前亟需改良此領域。
本發明一實施例提供之極紫外線光罩的形成方法,包括:提供基板;形成反射式多層結構於基板之表面上;形成導電層於基板之對向表面上;形成緩衝層於反射式多層結構上;形成吸收層於緩衝層上;形成蓋層於吸收層上;以及蝕 刻蓋層與吸收層。
本發明一實施例提供之極紫外線光罩的形成方法,包括:提供低熱膨脹材料之基板;形成反射式多層結構於低熱膨脹材料之基板上;形成緩衝層於反射式多層結構上;形成吸收層於緩衝層上;形成蓋層於吸收層上;以及進行連續式的電漿乾蝕刻製程,以蝕刻蓋層與吸收層。
本發明一實施例提供之極紫外線光罩的形成方法,包括:形成導電層於基板之第一表面上,其中導電層係擇自下列材料的一或多者:氮化鉻、氮化鉭、氮硼化鉭、與氮氧化鉭;形成反射式多層結構於基板之第二表面上,且基板之第二表面與第一表面相對;形成釕緩衝層於反射式多層結構上;形成吸收層於釕緩衝層上,其中吸收層係擇自下列材料的一或多者:氮化鉭、氮氧化鉭、與氮硼化鉭;形成蓋層於吸收層上,其中蓋層係擇自下列材料的一或多者:鉻、氮化鉻、氮氧化鉻、與氧化鉻;以及進行多重蝕刻製程以蝕刻蓋層與吸收層。
10‧‧‧EUV微影系統
20‧‧‧射線源
30‧‧‧照明器
40‧‧‧光罩
50‧‧‧投影光學盒
60‧‧‧目標
100‧‧‧方法
102、104、106、108、110、112‧‧‧步驟
200‧‧‧空白光罩
205‧‧‧導電層
210‧‧‧基板
220‧‧‧ML
230‧‧‧緩衝層
240‧‧‧吸收層
250‧‧‧蓋層
255‧‧‧圖案化蓋層
300‧‧‧EUV光罩
第1圖係本發明一或多個實施例中,實施微影製程之方塊圖;第2圖係本發明多種實施例中,製作EUV光罩之方法的流程圖;第3圖係依據第2圖之方法製作之空白光罩的剖視圖;以及第4及5A至5B圖係依據第2圖之方法製作之EUV光罩的剖視圖。
下述揭露內容提供的不同實施例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。此外,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例將重複標號及/或符號以簡化並清楚說明。然而不同實施例中,具有相同標號的元件並不必然具有相同的對應關係及/或排列。
第1圖係本發明一或多個實施例中的EUV微影系統10。EUV微影系統10包括射線源20、照明器30、光罩40、投影光學盒(POB)50、及目標60(如基板站點上的半導體晶圓)。除了圖示中的排列外,可採用其他方式排列元件,甚至省略或新增某些元件。
在此實施例中,射線源20包含提供電磁射線的來源,且電磁射線之波長在EUV範圍。舉例來說,射線源20提供之EUV射線其波長接近13.5nm。照明器30可包含折射光學元件如單一透鏡或具有多重透鏡的透鏡系統,及/或反射光學元件如單一反射鏡或具有多重反射鏡的反射鏡系統,可將射線源20之光線導至光罩40上。光罩40可為穿透式光罩或反射式光罩。在此實施例中,光罩40為反射式光罩詳述如下。光罩40可整合其他增加解析度的技術如相轉移光罩(PSM)及/或光學臨近修正(OPC)。
投影光學盒50將收集反射自光罩40的電磁射線(比如圖案化的射線束)。投影光學盒50可具有折射光學元件或反 射光學元件。投影光學盒50之放大倍率小於1,因此將縮小射線中所包含的圖案化影像。投影光學盒50將圖案化的射線導向目標60(比如半導體晶圓)。目標60包含感光層如光阻,且感光層對EUV射線感光。目標60可固定於目標基板站點以控制目標基板的位置,使光罩影像得以重複掃描於目標基板上。除了上述方法,亦可採用其他可能的微影方法。全部或部份的EUV微影系統10可包含真空裝置。
下述說明係關於光罩40與其形成方法。光罩的製程包含兩個步驟:空白光罩的製程,與圖案化光罩的製程。在空白光罩的製程中,先將適合的層狀物(如多重反射層)置於適當的基板上以形成空白光罩。在圖案化光罩的製程中,圖案化空白光罩使其具有積體電路(IC)元件或晶片中某一層的設計。接著以圖案化的光罩將電路圖案(如IC元件中某一層的設計)轉移至半導體晶圓上。藉由多種微影製程,可將一層一層的圖案形成於多重晶圓上。採用多道光罩如15道至30道的光罩,可建構完整的IC元件。
一般而言,可製作多種光罩以應用於多種製程中。在EUV微影中,EUV光罩的種類包括雙光強度光罩(BIM)與相轉移光罩(PSM)。舉例來說,BIM幾乎都是吸收區(亦稱作不透明區),以及吸收區以外的反射區。吸收區包含的吸收物幾乎完全吸收入射光束。反射區不含吸收物,且其包含的多層結構(ML)將反射入射光束。PSM包含吸收區與反射區。吸收區反射之部份入射光,與反射區反射之入射光具有適當相位差異,可增加解析度與影像品質。PSM可為衰減型PSM(AttPSM) 與間隔型PSM(A1tPSM)。AttPSM之吸收物通常具有2%至15%之反射率,而AltPSM之吸收物通常具有大於50%之反射率。
第2圖係本發明多種實施例中,製作EUV光罩之方法100的流程圖。第3圖係方法100之多種製程中空白光罩200的剖視圖。第3圖之空白光罩200將搭配第2圖中的方法100一同說明。第4圖及第5A至5B圖係方法100之多種製程中EUV光罩300的剖視圖。第4圖及第5A至5B圖之EUV光罩將搭配第2圖中的方法100一同說明。
如第2及3圖所示,方法100之步驟102提供基板210。基板210可為低熱膨脹材料(LTEM)如掺雜SiO2之TiO2,及/或本技術領域中具有通常知識者所知之其他低熱膨脹材料。LTEM之基板210可讓因光罩受熱所造成的影像扭曲最小化。在一實施例中,LTEM之基板210包含低缺陷及平滑表面的材料。此外,導電層205可覆蓋基板210之第一表面上以達靜電吸附的效果。導電層205可為氮化鉻、氮化鉭、氮硼化鉭、氮氧化鉭、或其他可能的組成。
接著進行方法100之步驟104以形成反射式ML 220於LTEM之基板210的第二表面上,且基板210的第一表面與第二表面相對。依據Fresnel公式,當光穿過不同折射率的兩種材料之間的界面時,將產生光反射現象。兩種材料的折射率差異越大時,反射光越強。增加界面數目如ML 220中交替排列的不同材料可增強反射光。當ML 220中的每一層具有適當厚度時,不同界面反射的光將產生建設性干涉。然而ML 220採用的材料可能會吸收光,並限制ML 220所能達到的最大反射率。ML 220 包含多個薄膜對,比如鉬-矽薄膜對(Mo/Si),其中每一薄膜對中的鉬層上方或下方為矽層。在其他實施例中,ML 220可包含鉬-鈹薄膜對(Mo/Be),或任何對EUV波長具有高反射率的材料。ML 220中每一層的厚度取決於EUV之波長與入射角。藉由調整ML 220之厚度,可讓每一介面反射之EUV光達到最大化建設性干涉,並最小化ML 220吸收的EUV光。ML 220的選擇取決於如何讓特定射線/波長的光具有高反射率。一般而言,薄膜對數目介於20至80之間,但薄膜對數目亦可為其他數值。在一實施例中,ML 220包含40個Mo/Si薄膜對,每一Mo/Si薄膜對的厚度為約7nm,且ML 220之總厚度為280nm。在此例中,ML 220之反射率為約70%。
方法100之步驟106形成緩衝層230於ML 220上。在下述圖案化或修復吸收層之步驟中,緩衝層230可作為蝕刻停止層。緩衝層230之蝕刻性與吸收層不同。緩衝層230包含釕,或釕化合物如硼化釕及矽化釕。緩衝層230之形成方法為低溫沉積製程,以避免ML 220的擴散問題。在此實施例中,緩衝層230包含釕。
方法100之步驟108形成吸收層240於緩衝層230上。吸收層240較佳吸收EUV波長範圍的射線,且此射線投影至圖案化EUV光罩300。吸收層240包含下述材料之單層或多層結構:鉻、氧化鉻、氮化鈦、氮化鉭、鉭、鈦、鋁銅合金、鈀、氮硼化鉭、氧化鋁、鉬、鉬矽合金、氮氧化鉬矽、氮化鉬矽、或其他合適材料。當吸收層240具有適當態樣之薄膜層時,其每一薄膜的不同蝕刻性可讓後續蝕刻製程具有製程彈性。在此 實施例中,吸收層240之材料包括氮硼化鉭、氮化鉭、及氮氧化鉭。
方法100之步驟110形成蓋層250於吸收層240上,以避免氧化吸收層240並增加製程控制性。蓋層250包括鉻、鉭、鈦、鉬、鋁、矽、上述之氧化物、上述之氮化物、或上述之氮氧化物。在一實施例中,蝕刻吸收層之後續製程亦會蝕刻蓋層250,因此蓋層250在相同的蝕刻化學品與蝕刻參數下需具有適當的蝕刻速率。蓋層250之組成可為金屬化合物如MxOy、MxNy、MxOyNz,其中M為金屬,而x、y、z各自介於0至1之間。在一實施例中,蓋層250包含鉻。在另一實施例中,蓋層250與吸收層240在後續之蝕刻吸收層的製程中具有相當不同的蝕刻速率。
導電層205、ML 220、緩衝層230、吸收層240、與蓋層250中的一或多者,其形成方法包括物理氣相沉積法(PVD)製程如蒸鍍法及直流磁控濺鍍,電鍍製程如無電電鍍法或電鍍法,化學氣相沉積(CVD)製程如常壓CVD(APCVD)、低壓CVD(LPCVD)、電漿增強式CVD(PECVD)、或高密度電漿CVD(HDP CVD),離子束沉積,旋轉塗佈法,有機金屬分解(MOD),原子層沉積(ALD),及/或本技術領域中具有通常知識者所知的其他方法。
如第2、4、5A、及5B圖所示,方法100之步驟112蝕刻部份蓋層250與吸收層240以形成EUV光罩300。首先,進行微影製程以定義IC圖案於空白光罩200上。圖案化製程包括塗佈光阻(比如旋轉塗佈法)、軟烘烤、對準目標、曝光、曝光 後烘烤、顯影光阻、潤濕光阻、乾燥光阻(如硬烘烤)、其他合適製程、及/或上述之組合。在另一實施例中,可採用其他合適方法如無光罩微影、電子束寫入、直寫、及/或離子束寫入等方法,實施甚至取代微影曝光製程。
接著進行蝕刻製程以移除部份蓋層250與吸收層240以形成EUV光罩300。上述蝕刻製程以圖案化光阻作為蝕刻遮罩,移除圖案化光阻之開口露出的部份蓋層250與吸收層240,並保留圖案化光阻覆蓋的部份蓋層250與吸收層240。蝕刻製程包括乾(電漿)蝕刻、濕蝕刻、及/或其他蝕刻方法。舉例來說,乾蝕刻製程可採用含氧氣體、含氟氣體如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷,含氯氣體如氯氣、氯仿、四氯化碳、及/或三氯化硼,含溴氣體如溴化氫及/或溴仿、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在蝕刻製程後,可採用合適技術如剝除或灰化以移除圖案化光阻。
在一實施例中,以連續式的乾蝕刻蝕刻蓋層250與吸收層240,如第4圖所示。在單一步驟中,以相同蝕刻化學品與相同蝕刻參數如氣體、氣流、功率、與壓力,蝕刻蓋層250與吸收層240。在一實施例中,乾蝕刻採用鹵素蝕刻氣體如氯氣及六氟化硫與氧氣混合。蝕刻步驟先蝕刻蓋層250,接著蝕刻吸收層240。換言之,單一步驟中的氯及氧為主的氣體可蝕刻蓋層250與吸收層240。單一蝕刻製程可降低製程複雜性及製程干擾。當改變蝕刻化學品與蝕刻參數時,會牽扯到多個子製程如排出之前殘留的蝕刻化學品、填充新的蝕刻化學品、與斜 向增加並穩定新的蝕刻化學品。如此一來,可能會產生製程干擾,甚至產生微粒。為了消除微粒,又需要蝕刻中或蝕刻後增加額外清潔製程。
在另一實施例中,以多重蝕刻製程形成EUV光罩300。舉例來說,可進行兩步蝕刻,比如以第一蝕刻步驟先蝕刻蓋層250以形成第5A圖所示之圖案化蓋層255。蝕刻蓋層250之第一蝕刻步驟可採用下列氣體之一或多者:與氧氣混合之氯氣及六氟化硫、氯氣、與六氟化硫。接著進行第二蝕刻步驟以蝕刻吸收層240,而第二蝕刻步驟可採用下列氣體之一或多者:與鈍氣混合之氯氣及六氟化硫、氯氣、六氟化硫、氯氣及六氟化硫之混合氣體、以及與氧氣混合之六氟化硫。在一實施例中,在第一蝕刻步驟後即移除圖案化光阻。蝕刻吸收層240之第二蝕刻步驟採用圖案化蓋層255作為蝕刻遮罩,如第5B圖所示。第二蝕刻步驟對緩衝層230而言具有實質上的高選擇性。在另一實施例中,圖案化光阻與圖案化蓋層255均為第二蝕刻步驟之蝕刻遮罩。在第二蝕刻步驟後,將移除圖案化光阻。
多重蝕刻製程提供多重蝕刻站點,且每一蝕刻站點具有不同的蝕刻化學品與蝕刻參數(比如氣體、氣流、功率、與壓力),以達特定的蝕刻選擇性與蝕刻形狀。多重蝕刻製程中的每一蝕刻步驟,各自具有其蝕刻選擇性與蝕刻形狀,在製程關鍵尺寸(CD)的控制上特別有利。
EUV光罩300可搭配其他增加解析度的技術,比如光學臨近修正(OPC)。藉由光罩修復系統,可對EUV光罩300進行缺陷修復製程。光罩修復系統係一合適系統如電子束修復系 統及/或聚焦離子束(FIB)修復系統。
由上述可知,本發明提供EUV光罩的形成方法包括吸收層搭配蓋層之材料組合,以實質上相同的蝕刻化學品及蝕刻參數,進行一步蝕刻製程以蝕刻蓋層與吸收層。此方法在圖案化吸收層時的蝕刻製程,不但干擾較低且產生較少微粒。此外,本發明亦提供多重蝕刻製程以達所需的蝕刻選擇性與蝕刻形狀。
本發明提供多種實施例以形成EUV光罩,以改良習知技藝的EUV光罩。在一實施例中,極紫外線光罩的形成方法包括:提供基板,形成反射式多層結構於基板之表面上,形成緩衝層於反射式多層結構上,形成吸收層於緩衝層上,以及形成蓋層於吸收層上。蝕刻蓋層與吸收層即形成EUV光罩。
在另一實施例中,極紫外線光罩的形成方法包括:提供低熱膨脹材料之基板,形成反射式多層結構於低熱膨脹材料之基板上,形成緩衝層於反射式多層結構上,形成吸收層於緩衝層上,形成蓋層於吸收層上,以及進行連續式的電漿乾蝕刻製程,以相同的蝕刻氣體與蝕刻參數蝕刻蓋層與吸收層。
在又一實施例中,極紫外線光罩的形成方法包括:形成導電層於基板之第一表面上,其中導電層係擇自下列材料的一或多者:氮化鉻、氮化鉭、氮硼化鉭、與氮氧化鉭,形成反射式多層結構於基板之第二表面上,且基板之第二表面與第一表面相對,形成釕緩衝層於反射式多層結構上,形成吸收層於釕緩衝層上,其中吸收層係擇自下列材料的一或多者: 氮化鉭、氮氧化鉭、與氮硼化鉭,形成蓋層於吸收層上,其中蓋層係擇自下列材料的一或多者:鉻、氮化鉻、氮氧化鉻、與氧化鉻,以及進行多重蝕刻製程以蝕刻蓋層與吸收層。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
205‧‧‧導電層
210‧‧‧基板
220‧‧‧ML
230‧‧‧緩衝層
240‧‧‧吸收層
255‧‧‧圖案化蓋層
300‧‧‧EUV光罩

Claims (10)

  1. 一種極紫外線光罩的形成方法,包括:提供一基板;形成一反射式多層結構於該基板之一表面上;形成一導電層於該基板之一對向表面上;形成一緩衝層於該反射式多層結構上;形成一吸收層於該緩衝層上;形成一蓋層於該吸收層上;以及蝕刻該蓋層與該吸收層。
  2. 如申請專利範圍第1項所述之極紫外線光罩的形成方法,其中該吸收層係擇自下列材料中的一或多者:鉻、氧化鉻、氮化鈦、氮化鉭、鉭、鈦、鋁銅合金、鈀、氮硼化鉭、氧化鋁、鉬、鉬矽合金、氮氧化鉬矽、與氮化鉬矽,其中該蓋層係擇自下列材料中的一或多者:鉻、鉭、鈦、鉬、鋁、矽、上述之氧化物、上述之氮化物、及上述之氮氧化物。
  3. 如申請專利範圍第1項所述之極紫外線光罩的形成方法,其中蝕刻該蓋層與該吸收層之步驟為一單一蝕刻步驟,其中該單一蝕刻步驟採用一般的蝕刻參數以蝕刻該蓋層與該吸收層,其中該單一步驟係採用一鹵素氣體之電漿乾蝕刻,且該鹵素氣體包括混合氧氣的氯氣與六氟化硫。
  4. 如申請專利範圍第1項所述之極紫外線光罩的形成方法,其中蝕刻該蓋層與該吸收層之步驟為一多重蝕刻步驟,且該多重蝕刻步驟中的每一蝕刻步驟採用不同的蝕刻化學品與蝕刻參數,其中該多重蝕刻步驟包括: 蝕刻該蓋層,且蝕刻該蓋層之氣體係擇自下列氣體中的一或多者:混合氧氣的氯氣與六氟化硫、氯氣、及六氟化硫;以及以蝕刻後之蓋層作為蝕刻該吸收層之遮罩,蝕刻該吸收層,且蝕刻該吸收層之氣體係擇自下列氣體中的一或多者:混合鈍氣的氯氣與六氟化硫、氯氣、六氟化硫、混合六氟化硫的氯氣、及混合氧氣的六氟化硫。
  5. 一種極紫外線光罩的形成方法,包括:提供一低熱膨脹材料之基板;形成一反射式多層結構於該低熱膨脹材料之基板上;形成一緩衝層於該反射式多層結構上;形成一吸收層於該緩衝層上;形成一蓋層於該吸收層上;以及進行連續式的一電漿乾蝕刻製程,以蝕刻該蓋層與該吸收層。
  6. 如申請專利範圍第5項所述之極紫外線光罩的形成方法,其中該吸收層係擇自下列材料:氮化鉭、鉭、鈦、鋁銅合金、鈀、氮硼化鉭、與氧化鋁,其中該蓋層係擇自下列材料:鉻、鉭、鈦、矽、鋁、鉬、上述之氧化物、上述之氮化物、及上述之氮氧化物,其中該緩衝層包括釕。
  7. 如申請專利範圍第5項所述之極紫外線光罩的形成方法,其中該蓋層與該吸收層係由該電漿乾蝕刻製程中的相同蝕刻步驟所蝕刻。
  8. 如申請專利範圍第5項所述之極紫外線光罩的形成方法,其 中連續式的電漿乾蝕刻製程採用實質上相同的蝕刻參數以蝕刻該蓋層與該吸收層,其中該蝕刻參數包括下列參數中的一或多者:氣流、功率、與壓力。
  9. 一種極紫外線光罩的形成方法,包括:形成一導電層於一基板之第一表面上,其中該導電層係擇自下列材料的一或多者:氮化鉻、氮化鉭、氮硼化鉭、與氮氧化鉭;形成一反射式多層結構於該基板之第二表面上,且該基板之第二表面與第一表面相對;形成一釕緩衝層於該反射式多層結構上;形成一吸收層於該釕緩衝層上,其中該吸收層係擇自下列材料的一或多者:氮化鉭、氮氧化鉭、與氮硼化鉭;形成一蓋層於該吸收層上,其中該蓋層係擇自下列材料的一或多者:鉻、氮化鉻、氮氧化鉻、與氧化鉻;以及進行一多重蝕刻製程以蝕刻該蓋層與該吸收層。
  10. 如申請專利範圍第9項所述之極紫外線光罩的形成方法,其中該多重蝕刻製程包括:進行一第一蝕刻步驟以蝕刻該蓋層,且該第一蝕刻步驟中蓋層之蝕刻選擇性實質上高於該吸收層之蝕刻選擇性;以及以蝕刻後的該蓋層作為蝕刻遮罩,進行一第二蝕刻步驟以蝕刻該吸收層,其中該第二蝕刻步驟中吸收層之蝕刻選擇性實質上高於蝕刻後的該蓋層之蝕刻選擇性。
TW102125343A 2012-08-01 2013-07-16 極紫外線光罩的形成方法 TWI490633B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/564,334 US8679707B2 (en) 2012-08-01 2012-08-01 Method of fabricating a lithography mask

Publications (2)

Publication Number Publication Date
TW201407261A true TW201407261A (zh) 2014-02-16
TWI490633B TWI490633B (zh) 2015-07-01

Family

ID=49944105

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102125343A TWI490633B (zh) 2012-08-01 2013-07-16 極紫外線光罩的形成方法

Country Status (3)

Country Link
US (1) US8679707B2 (zh)
DE (1) DE102013104390B4 (zh)
TW (1) TWI490633B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567479B (zh) * 2013-11-15 2017-01-21 格羅方德半導體公司 用於極紫外光光刻程序的極紫外光遮罩

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
US9316900B2 (en) 2013-10-11 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9261774B2 (en) * 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9429835B2 (en) * 2014-02-12 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of photomask with reduction of electron-beam scatterring
US9529250B2 (en) 2014-10-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask with ITO absorber to suppress out of band radiation
US9709884B2 (en) 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
US9535317B2 (en) 2014-12-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
US9897910B2 (en) 2014-12-24 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
US10274819B2 (en) 2015-02-05 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. EUV pellicle fabrication methods and structures thereof
US9933699B2 (en) 2015-03-16 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle aging estimation and particle removal from pellicle via acoustic waves
US9678431B2 (en) 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability
US9823585B2 (en) 2015-03-31 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV focus monitoring systems and methods
US9625824B2 (en) 2015-04-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd Extreme ultraviolet lithography collector contamination reduction
US9588417B2 (en) 2015-05-28 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask pellicle
US10036951B2 (en) 2015-05-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and fabrication methods thereof
US9766536B2 (en) 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US9885952B2 (en) 2015-07-29 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of EUV mask cleaning
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US9857679B2 (en) 2015-08-21 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and fabricating the same
US9835940B2 (en) 2015-09-18 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to fabricate mask-pellicle system
US10468249B2 (en) 2015-09-28 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a middle layer
KR102469807B1 (ko) 2015-10-28 2022-11-23 에스케이하이닉스 주식회사 반사형 포토마스크의 제조방법
US9910350B2 (en) 2015-11-16 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for repairing a mask
US9665000B1 (en) 2015-11-16 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for EUV mask cleaning with non-thermal solution
US9759997B2 (en) 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
US9886543B2 (en) 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process
US9791771B2 (en) 2016-02-11 2017-10-17 Globalfoundries Inc. Photomask structure with an etch stop layer that enables repairs of detected defects therein and extreme ultraviolet(EUV) photolithograpy methods using the photomask structure
US9891528B2 (en) 2016-05-02 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography with reduced exposure dose and negative tone development
US10108095B2 (en) 2016-05-31 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Automated mask storage and retrieval system
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US9870612B2 (en) 2016-06-06 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing a mask
KR20180012906A (ko) 2016-07-27 2018-02-07 삼성전자주식회사 반도체 소자의 제조 방법
US10520805B2 (en) 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10622211B2 (en) 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10394114B2 (en) 2016-08-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chromeless phase shift mask structure and process
US10514597B2 (en) 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
US10001701B1 (en) 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
US10162258B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle fabrication methods and structures thereof
US11086209B2 (en) 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
DE102018117690A1 (de) 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-Funktions-Überlagerungsmarken zum Reduzieren von Rauschen und Extrahieren von Informationen über Fokus und kritische Abmessung
US10915017B2 (en) 2017-08-31 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-function overlay marks for reducing noise and extracting focus and critical dimension information
US10504705B2 (en) * 2017-09-15 2019-12-10 Applied Materials, Inc. Physical vapor deposition chamber with static magnet assembly and methods of sputtering
EP3486721A1 (en) 2017-11-17 2019-05-22 IMEC vzw Mask for extreme-uv lithography and method for manufacturing the same
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US11294293B2 (en) 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
US11294271B2 (en) 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography
DE102020120884A1 (de) 2020-08-07 2022-02-10 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Ätzen einer Lithographiemaske

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6178221B1 (en) * 1998-12-04 2001-01-23 Advanced Micro Devices, Inc. Lithography reflective mask
US6998202B2 (en) * 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
DE102006046000A1 (de) 2006-09-27 2007-08-30 Schott Ag EUV Maskenblank und Verfahren zu dessen Herstellung
DE102007028800B4 (de) 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
JP5372455B2 (ja) 2008-10-04 2013-12-18 Hoya株式会社 反射型マスクブランク及び反射型マスク、並びにこれらの製造方法
DE102010025033B4 (de) 2010-06-23 2021-02-11 Carl Zeiss Smt Gmbh Verfahren zur Defekterkennung und Reparatur von EUV-Masken
CN103026296B (zh) * 2010-07-27 2014-12-10 旭硝子株式会社 Euv光刻用带反射层的基板和euv光刻用反射型掩模底版
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
DE102011080100B4 (de) 2011-07-29 2018-08-23 Carl Zeiss Smt Gmbh Verfahren zum Bearbeiten von Defekten eines optischen Elements für den EUV Bereich

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI567479B (zh) * 2013-11-15 2017-01-21 格羅方德半導體公司 用於極紫外光光刻程序的極紫外光遮罩

Also Published As

Publication number Publication date
US8679707B2 (en) 2014-03-25
TWI490633B (zh) 2015-07-01
DE102013104390A1 (de) 2014-02-06
US20140038088A1 (en) 2014-02-06
DE102013104390B4 (de) 2018-05-09

Similar Documents

Publication Publication Date Title
TWI490633B (zh) 極紫外線光罩的形成方法
US9213232B2 (en) Reflective mask and method of making same
US6583068B2 (en) Enhanced inspection of extreme ultraviolet mask
TWI525386B (zh) 反射型光罩及積體電路的製造方法
US8663878B2 (en) Mask and method for forming the same
TW201929087A (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
TW201923441A (zh) 光罩之製造方法
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
TW201918788A (zh) 光罩
US9995999B2 (en) Lithography mask
TWI745502B (zh) 微影光罩及其製造方法以及進行微影製程的方法
US20220334462A1 (en) Photomask and method of fabricating a photomask
US9354507B2 (en) Extreme ultraviolet lithography process and mask
JP2021071685A (ja) 反射型マスク及び反射型マスクの製造方法
TWI476818B (zh) 微影罩幕的製作方法
TWI725917B (zh) 極紫外線微影遮罩和其製造方法
US6852455B1 (en) Amorphous carbon absorber/shifter film for attenuated phase shift mask
TW201903511A (zh) 圖案化器件
KR20220167385A (ko) 반사형 마스크 블랭크 및 반사형 마스크
KR20210155863A (ko) 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법
TW202009982A (zh) 光罩及其製造方法及圖案化膜層的方法