TW201923441A - 光罩之製造方法 - Google Patents

光罩之製造方法 Download PDF

Info

Publication number
TW201923441A
TW201923441A TW107135081A TW107135081A TW201923441A TW 201923441 A TW201923441 A TW 201923441A TW 107135081 A TW107135081 A TW 107135081A TW 107135081 A TW107135081 A TW 107135081A TW 201923441 A TW201923441 A TW 201923441A
Authority
TW
Taiwan
Prior art keywords
layer
pattern
absorption
mask
photomask
Prior art date
Application number
TW107135081A
Other languages
English (en)
Inventor
林雲躍
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201923441A publication Critical patent/TW201923441A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Abstract

提供一種光罩及其製造方法。此方法包含形成反射多膜層於遮罩基板之前側表面之上。此方法更包含形成蓋層於此反射多膜層之上。此方法更包含形成犧牲多膜層於此蓋層之上。此方法更包含形成開口於此犧牲多膜層之中以暴露出此蓋層。此方法更包含形成第一吸收層於此犧牲多膜層之上,並覆蓋此開口中之蓋層。此方法更包含移除此犧牲多膜層中之開口外的第一吸收層,以形成第一吸收圖案於此蓋層之一部分上。

Description

光罩之製造方法
本揭露係有關於一種光罩及其製造方法,且特別有關於一種極紫外光光罩及其製造方法。
半導體積體電路工業經歷了快速的成長。在積體電路材料與設計中技術的進展,創造了積體電路的世代,其中每一世代皆具有比前一世代更小且更複雜的電路。然而,這些發展增加了製程及製造積體電路的複雜度,並且,為了實現這些發展,在積體電路的製程及製造中也需要類似的發展。在積體電路的演進過程中,當幾何尺寸(即可使用生產製程創建的最小組件)降低時,功能密度(即單位晶片面積之互連裝置的數量)通常會增加。
在一範例中,微影(lithography)為在積體電路製程中經常使用於轉移積體電路設計至半導體基板的技術。典型的微影製程包含塗佈光阻(resist或photo resist)於基板之上,暴露此光阻至例如極紫外光(extreme ultraviolet,EUV)線的射線,以及顯影及部分去除此光阻以保留圖案化的光阻於此基板之上。此圖案化的光阻使用於在形成積體電路中之後續的蝕刻製程。微影的發展通常需要符合持續的半導體微型化 (miniaturization)。
本揭露包含一種光罩之製造方法。此方法包含:形成反射多膜層於遮罩基板之前側表面之上。此方法更包含形成蓋層於此反射多膜層之上。此方法更包含形成犧牲多膜層於此蓋層之上。此方法更包含形成開口於此犧牲多膜層之中以暴露出此蓋層。此方法更包含形成第一吸收層於此犧牲多膜層之上,並覆蓋此開口中之蓋層。此方法更包含移除此犧牲多膜層中之開口外的第一吸收層,以形成第一吸收圖案於此蓋層之一部分上。
本揭露亦包含一種光罩之製造方法。此方法包含形成反射多膜層於遮罩基板之前側表面之上。此方法更包含形成蓋層於此反射多膜層之上。此方法更包含沉積黏合層於此蓋層之上。此方法更包含沉積吸收層於此黏合層之上。此方法更包含形成硬遮罩圖案於此吸收層之上。此方法更包含使用此硬遮罩圖案作為遮罩來移除此吸收層及此黏合層之複數部分,以形成黏合圖案以及於此黏合圖案上方之此吸收圖案。
本揭露亦包含一種光罩。此光罩包含:遮罩基板、反射多膜層、蓋層、黏合圖案、及吸收圖案。遮罩基板具有前側表面及背側表面。反射多膜層位於此遮罩基板之此前側表面之上。蓋層位於此反射多膜層之上。黏合圖案位於此蓋層之上。吸收圖案位於此黏合圖案之上。
200‧‧‧遮罩基板
201‧‧‧前側表面
203‧‧‧背側表面
206‧‧‧反射多膜層
210‧‧‧蓋層
211、224、224’、241‧‧‧頂面
212‧‧‧吸收層
212A‧‧‧吸收圖案
216‧‧‧抗反射層
216A‧‧‧抗反射圖案
218‧‧‧導電層
220‧‧‧硬遮罩層
220A‧‧‧硬遮罩圖案
222、240‧‧‧光阻層
222A、240A‧‧‧光阻圖案
230、330、380‧‧‧犧牲多膜層
230A、230A’、330A、380A‧‧‧犧牲多膜層圖案
232A、232B、232C、232C’、242A、352A、352A’、352B‧‧‧開口
234、334‧‧‧吸收層
234A‧‧‧第一部分
234B‧‧‧吸收圖案
235、305、345‧‧‧側壁表面
242B、342‧‧‧溝槽
247、347‧‧‧底面
205、245‧‧‧側壁表面
250A、250B、250C‧‧‧空白光罩
270、370‧‧‧鈍化層
332‧‧‧黏合層
332A‧‧‧黏合圖案
334A‧‧‧吸收圖案
360‧‧‧蝕刻製程
410‧‧‧圖案部分
420‧‧‧邊界部分
500A、500B、500C、500D‧‧‧光罩
T1、T2‧‧‧厚度
藉由以下的詳述配合所附圖式,可以更加理解本 揭露實施例的觀點。應注意的是,依據在業界的標準慣例,各種部件並未按照比例繪製且僅用以說明例示。事實上,為了討論的明確易懂,各種部件的尺寸可任意增加或減少。
第1A至1K圖係根據一些實施例之製造光罩結構之製程的各種階段之剖面圖;第2A至2C圖係根據一些實施例之製造光罩結構之製程的各種階段之剖面圖;第3A至3D圖係根據一些實施例之製造光罩結構之製程的各種階段之剖面圖;第4A至4C圖係根據一些實施例之製造光罩結構之製程的各種階段之剖面圖;第5A至5E圖係根據一些實施例之製造光罩結構之製程的各種階段之剖面圖;第6A至6E圖係根據一些實施例之製造光罩結構之製程的各種階段之剖面圖。
以下提供許多不同的實施例或範例,用於實行本揭露的不同部件。以下描述了組件和配置的具體範例,以簡化本揭露之實施例。當然,這些實施例僅用以例示,並非意圖限制本揭露之範圍。舉例而言,在敘述中第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不會直接接觸的實施例。另外,本揭露之實施例可能在許多範例中重複元件符號及/或字母。這些重複的目的是為 了簡化和清楚,除非內文中特別說明,其本身並非代表各種實施例及/或所討論的配置之間有特定的關係。
此外,在以下敘述中可使用空間上相對用語,例如「在......之下」、「在......下方」、「較低的」、「在......上方」、「較高的」和其他類似的用語,以簡化一個(些)元件或特徵與另一個(些)繪示於圖式中的元件或特徵之間的關係之陳述。此空間相對用語除了包含圖式所繪示之方位,還包含裝置在使用或操作中的不同方位。裝置亦可轉向至其他方位(旋轉90度或在其他方位),且在此使用的空間相對描述亦依轉向後的方位相應地解讀。
描述了本揭露之一些實施例。可提供額外的步驟於這些實施例中所描述的步驟之前、期間、及/或之後。所描述的一些步驟可為了不同的實施例而被取代或刪除。可增加額外的部件至半導體裝置結構。下述之一些部件可為了不同的實施例而被取代或刪除。雖然一些實施例是以特定的順序所執行的步驟來討論,但這些步驟可用其他有邏輯的順序來執行。
本揭露所討論之先進微影的製程、方法、及材料可使用於許多的應用中,包含鰭式場效電晶體(fin-type field effect transistors,FinFETs)。舉例來說,鰭片可被圖案化以在部件之間產生相對接近的間隔,此於上述的揭露是十分的合適。另外,可根據上述的揭露處理在形成鰭式場效電晶體(FinFETs)中所使用的間隔物。
第1A至1K圖係為根據一些實施例之製造光罩(reticle)500A之製程的各種階段之剖面圖。在一些實施例 中,光罩500A為極紫外光(extreme ultraviolet,EUV)光罩。極紫外光微影製程使用反射光罩而非透明光罩。極紫外光微影製程使用極紫外光掃描器,其發射出在極紫外光區之具有極紫外光波長之光線,例如10至15奈米(nm)。在一些實施例中,極紫外光源產生具有波長在約13.6奈米的極紫外光。一些極紫外光掃描器可運用反射光學(reflective optics),即反射鏡(mirror)及在真空環境中運作。極紫外光掃描器可提供所需的圖案於形成在反射光罩上之吸收層(例如極紫外光光罩吸收劑(absorber))上。在極紫外光光譜範圍中的光線被幾乎所有的材料高度吸收。因此,通常使用反射光學而非折射光學(refractive optics)。
在一些實施例中,製造光罩500A的製程包含空白(blank)光罩製程及光罩圖案化製程。在空白光罩製程中,藉由沉積適合的膜層(例如反射多膜層、蓋層、及吸收層)於適合的基板上來形成空白的光罩。在光罩圖案化製程期間圖案化空白光罩,以具有積體電路之膜層的設計。圖案化的光罩之後使用於轉移電路圖案(例如積體電路之膜層的設計)至半導體晶圓上。光罩上的圖案可藉由不同的微影製程而重複地轉移至多個晶圓上。多個光罩(例如一組為15至30個光罩)可使用於建立一個完整的積體電路。一般來說,會製造不同的光罩用於不同的微影製程中。極紫外光的型態可包含二元強度遮罩(binary intensity mask,BIM)及相偏移遮罩(phase-shifting mask,PSM)。
如第1A圖所示,根據一些實施例,在製造光罩500A 的製程中,接收空白光罩250A的遮罩基板200。空白光罩250A的遮罩基板200可具有前側表面201及相對於前側表面201的背側表面203。遮罩基板200可由適合的材料所組成,例如低熱膨脹材料(low thermal expansion material,LTEM)或熔融石英(fused quartz)。在一些實施例中,低熱膨脹材料(LTEM)包含摻雜二氧化鈦(TiO2)的二氧化矽(SiO2)、或其他適合之低熱膨脹的材料。遮罩基板200可用於將遮罩加熱所造成之影像扭曲降到最低。另外,遮罩基板200可包含具有低缺陷程度及平滑表面的材料。舉例來說,具有等於或大於16奈米之尺寸的缺陷的數量在遮罩基板200中約為或少於100,並且這些缺陷可為凹孔(pit)及/或凸塊(bump)。在一些實施例中,遮罩基板200可具有約為或小於0.2奈米之均方根粗糙度(root-mean-square roughness,Rq)的表面粗糙度。
如第1A圖所示,形成導電層218於相對於遮罩基板200之前側表面201的遮罩基板200之背側表面203之上。為了靜電吸附(electrostatic chucking)的目的,導電層218可設置於遮罩基板200之背側表面203上。在一些實施例中,導電層218包含硼化鉭(tantalum boron,TaB)、氮化鉻(chromium nitride,CrN),但也可能是其他適合的組成。
如第1A圖所示,藉由沉積製程形成反射多膜層(reflective multilayer)206於遮罩基板200之前側表面201之上。根據菲涅耳方程式(Fresnel equations),當光穿透具有不同折射率(refractive indices)之兩種材料之間的界面時,會發生光反射。膜層之折射率之間的差異越大,當光穿透這些 膜層時反射的光強度也會變得越高。為了增加反射光的強度,在一些實施例中,可使用交替材料的多膜層來增加界面的數量,使得來自於每個界面的反射光建設性干涉(interfere)。在一些實施例中,反射多膜層206包含複數個薄膜對,例如鉬矽(molybdenum-silicon,Mo/Si)薄膜對(例如在每一對薄膜對中鉬膜層在矽膜層之上或之下)。在一些其他實施例中,反射多膜層206可包含鉬鈹(molybdenum-beryllium,Mo/Be)薄膜對,或其他適合之可配置成對極紫外光高反射的材料。所選擇之反射多膜層206的特性,提供了對於所選擇之電磁射線(electromagnetic radiation)的型態/波長具有高反射率。舉例來說,為了極紫外光微影的目的,反射多膜層206可設計為反射在極紫外光範圍中的光。反射多膜層206之每一層的厚度可取決於極紫外光波長及入射角。具體而言,反射多膜層206之厚度(及薄膜對的厚度)可調整至達到在每個界面繞射之極紫外光的建設性干涉(constructive interference)之最大值及極紫外光之最小吸收率(absorption)。在一些實施例中,反射多膜層206中薄膜對的數量可在約20至約80的範圍。然而,可使用任何數量的薄膜對。舉例來說,反射多膜層206可包含四十對的鉬/矽膜層。舉例來說,每對鉬/矽膜層具有約為7奈米的厚度,並且反射多膜層206具有280奈米的總厚度。在這些情形中,可達到約為70%的反射率。
然後,形成蓋層210於反射多膜層206之上。蓋層210配置為對極紫外光透明,並保護反射多膜層206不受到損壞及/或氧化。另外,蓋層210可在位於蓋層210之上的吸收層212 之圖案化或修復/清洗製程中作為蝕刻停止層。蓋層210可具有與吸收結構不同之蝕刻特性。在一些實施例中,蓋層210由釕(ruthenium,Ru)、釕化合物例如RuB、RuSi、RuN、或RuON、鉻(chromium,Cr)、鉻氧化物(Cr oxide)、鉻氮化物(Cr nitride)、硼(boron,B)、氮化硼(boron nitride)、碳化硼(boron carbide)、或任何前述之組合所形成。經常選擇低溫沉積製程來形成蓋層210,以防止反射多膜層206的交互擴散(inter-diffusion)。蓋層210的厚度可在約0.5奈米至約10奈米的範圍。
然後,形成吸收層212於蓋層210之上。在一些實施例中,吸收層212為用來吸收極紫外光的吸收材料。在一些實施例中,吸收層212的消光係數(extinction coefficient,k)在約0.01至約0.04的範圍。另外,吸收層212的折射率(refractive index)可在約0.88至約1.02的範圍。舉例來說,吸收層212可由以鉭為主的材料所形成。舉例來說,吸收層212可包含每層含有鉻、氧化鉻、氮化鉻、鈦(titanium)、氧化鈦(titanium oxide)、氮化鈦(titanium nitride)、鉭(tantalum)、氧化鉭(tantalum oxide)、氮化鉭(tantalum nitride)、氮氧化鉭(tantalum oxynitride)、氮化鉭硼(tantalum boron nitride)、氧化鉭硼(tantalum boron oxide)、氮氧化鉭硼(tantalum boron oxynitride)、鋁(aluminum)、氧化鋁(aluminum oxide)、釕(ruthenium)、鉬(molybdenum)、其他適合的材料、及/或上述之混合物的多膜層。
在一些實施例中,可藉由不同的沉積製程形成反 射多膜層206、蓋層210、及吸收層212。舉例來說,沉積製程可包含物理氣相沉積(physical vapor deposition,PVD)製程例如蒸鍍(evaporation)及直流磁控濺鍍(DC magnetron sputtering)、電鍍製程例如無電極電鍍(electrode-less plating)或電鍍(electroplating)、化學氣相沉積(chemical vapor deposition,CVD)製程例如常壓化學氣相沉積(atmospheric pressure CVD,APCVD)、低壓化學氣相沉積(low pressure CVD,LPCVD)、電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)、或高密度電漿化學氣相沉積(high density plasma CVD,HDP CVD)、離子束沉積(ion beam deposition)、旋轉塗佈(spin-on coating)、有機金屬裂解法(metal-organic decomposition,MOD)、及/或其他本技術領域習知的方法。有機金屬裂解法(MOD)為藉由在非真空的環境中使用以液體為主之方法的沉積技術。藉由使用有機金屬裂解法(MOD),在溶劑中分解的有機金屬前驅物(precursor),旋轉塗佈於基板之上並且蒸發此溶劑。真空紫外光(vacuum ultraviolet,VUV)源用來將有機金屬前驅物轉換成構成金屬元素(constituent metal element)。
在執行前述的空白光罩製程之後,根據一些實施例,如第1A圖所示,形成空白光罩250A。在一些實施例中,空白光罩250A為極紫外光空白光罩。空白光罩250A可包含遮罩基板200、反射多膜層206、蓋層210、及吸收層212。
然後,如第1A圖所示,根據一些實施例,形成犧牲多膜層230於空白光罩250A之蓋層210之上。在一些實施例 中,犧牲多膜層230包含空白光罩250A之吸收層212、抗反射層216、硬遮罩層220、及光阻層222。吸收層212可形成於蓋層210之上。抗反射層216可形成於吸收層212之上。硬遮罩層220可形成於抗反射層216之上。另外,光阻層222可形成於硬遮罩層220之上。
在一些實施例中,配置抗反射層216以減少藉由破壞性干涉(destructive interference)而從吸收層212反射之具有波長短於極紫外光波長範圍的光。抗反射層216可包含高分子材料(polymeric material)或選自由氧化矽、碳氧化矽(silicon oxygen carbide)、及電漿增強化學氣相沉積的氧化矽所組成之群組的材料。抗反射層216可藉由包含旋轉塗佈製程的塗佈製程來形成。在一些實施例中,硬遮罩層220由氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、其他適合的材料、氧化鉭(tantalum oxide,TaO)、氧化鉭硼(tantalum boron oxide,TaBO)、或前述之組合所形成。硬遮罩層220可藉由沉積製程來形成,包含化學氣相沉積(CVD)製程、物理氣相沉積(PVD)製程、原子層沉積(atomic layer deposition,ALD)製程、及/或其他適合的製程。舉例來說,硬遮罩層220可由氧化鉭(TaO)或氧化鉭硼(TaBO)所形成,並藉由物理氣相沉積(PVD)製程來形成。在一些實施例中,光阻層222由包含光阻、金屬氧化物、金屬氮化物、或金屬氮氧化物之材料所形成。在一些實施例中,光阻包含正光阻(positive photoresist),並且藉由旋轉塗佈製程及其之後的軟烘烤(soft baking)製程 來形成。
然後,如第1B圖所示,根據一些實施例,藉由圖案化製程圖案化光阻層222以形成光阻圖案222A於硬遮罩層220上。在一些實施例中,圖案化製程包含光微影(photolithography)製程或蝕刻製程。配置光微影製程以形成開口232A於光阻層222中(第1A圖)。形成開口232A穿透光阻層222以暴露出硬遮罩層220。在一些實施例中,光微影製程包含曝光(exposure)、曝光後烘烤(post-exposure baking)、顯影(developing)、清洗(rinsing)、乾燥(drying)(例如硬烘烤)、其他適合的製程、及/或前述之組合來形成光阻圖案222A。舉例來說,電子、離子、或光子束直接寫入可使用於遮罩圖案化製程中曝光的步驟。在一些實施例中,蝕刻製程包含乾蝕刻製程,其執行使用混合O2、N2、NO、及/或H2O之以鹵素為主的氣體(例如與N2混合之以鹵素為主的氣體可使用於乾蝕刻製程中、或與O2、N2、及NO混合之以鹵素為主的氣體可使用於改善蝕刻輪廓並增加蝕刻選擇性)、及例如He或Ar或前述之組合的載體氣體(carrier gas)。此以鹵素為主的氣體可包含Cl2、CHF3、CH3F、C4F8、CF4、SF6、CF3Cl、或前述之組合。
然後,如第1C圖所示,根據一些實施例,藉由蝕刻製程移除硬遮罩層220未被光阻圖案222A覆蓋的部分。蝕刻製程可停止於抗反射層216以形成開口232B於硬遮罩層220中(第1B圖)。形成開口232B穿透硬遮罩層220以暴露出抗反射層216。另外,如第1C圖所示,圖案化硬遮罩層220以形成硬遮 罩圖案220A。在一些實施例中,蝕刻製程包含乾蝕刻製程,其執行使用混合O2、N2、NO、及/或H2O之以鹵素為主的氣體、及例如He或Ar或前述之組合的載體氣體,以移除硬遮罩層220未被覆蓋的部分。此以鹵素為主的氣體可包含Cl2、CHF3、CH3F、C4F8、CF4、SF6、CF3Cl、或前述之組合。
在執行硬遮罩層220之蝕刻製程之後,可降低硬遮罩圖案220A的厚度。
然後,藉由另外的蝕刻製程移除抗反射層216未被光阻圖案222A以及下方的硬遮罩圖案220A覆蓋的部分,以形成抗反射圖案216A。抗反射層216之蝕刻製程可停止在吸收層212上。然後,如第1D圖所示,根據一些實施例,藉由又另外的蝕刻製程移除吸收層212未被光阻圖案222A、硬遮罩圖案220A、及抗反射層圖案216A覆蓋的部分。吸收層212之蝕刻製程可停止在蓋層210上。另外,抗反射層216及吸收層212的蝕刻製程可形成開口232C於抗反射層216及吸收層212中(第1C圖)。形成開口232C穿透抗反射層216及吸收層212以暴露出蓋層210。另外,圖案化抗反射層216以形成抗反射圖案216A。再者,如第1D圖所示,圖案化吸收層212以形成吸收圖案212A。在一些實施例中,抗反射層216及吸收層212的蝕刻製程包含乾蝕刻製程。在一些實施例中,執行使用包含氯氣(chlorine,Cl2)、氟氣(fluorine,F2)、碳(carbon,C)、氧氣(oxygen,O2)、氮氣(nitrogen,N2)、SF6、CH3Cl、CH2Cl2、或前述之組合之蝕刻氣體的蝕刻製程。
在執行抗反射層216及吸收層212的蝕刻製程之 後,可降低光阻圖案222A的厚度。
如第1D圖所示,光阻圖案222A、硬遮罩圖案220A、抗反射圖案216A、及吸收圖案212A之堆疊可使用於形成覆蓋蓋層210之頂面211之部分的犧牲多膜層圖案230A。在一些實施例中,犧牲多膜層圖案230A可阻擋蓋層210之一部分。犧牲多膜層圖案230A可防止所產生的光罩500A之光罩圖案在後續製程中形成於蓋層210之被阻擋的一部分上。另外,可提供未被犧牲多膜層圖案230A覆蓋之蓋層210剩餘的部分,以允許光罩圖案(例如繪示於第1G圖中的吸收圖案234B(也稱為第二部分))形成於其之上。
然後,如第1E圖所示,根據一些實施例,藉由沉積製程在開口232C中形成吸收層234於圖案化犧牲多膜層(例如犧牲多膜層圖案230A)之上並覆蓋蓋層210。可執行吸收層234的沉積製程以同時形成一或多個第一部分234A及一或多個第二部分234B。第一部分234A可形成於開口232C之外,以及第二部分234B可形成於被犧牲多膜層圖案230A圍繞之開口232C中。吸收層234之第一部分234A可不與第二部分234B齊平。舉例來說,可形成吸收層234之第一部分234A與犧牲多膜層圖案230A之頂面224接觸。另外,可形成吸收層234之第二部分234B與蓋層210之頂面211及犧牲多膜層圖案230A之側壁表面235接觸。再者,吸收層234之第二部分234B可被犧牲多膜層圖案230A所圍繞。
在極紫外光微影系統(例如極紫外光掃描器)中,極紫外光線入射於光罩之入射角(angle of incidence,AOI) 與主光線之入射角相同,例如入射角等於6度。然而,當所需要之吸收層的厚度太大,將會產生遮罩遮蔽效應(mask shadowing effect),其會轉而降低空間影像對比度。因此,需要取得在消光係數(k)、折射率、及吸收層234的厚度之間的折衷方式。
在一些實施例中,吸收層234的消光係數(k)大於由以鉭為主之材料所形成之吸收層的消光係數(k)(例如犧牲多膜層230之吸收層212或犧牲多膜層圖案230A之吸收圖案212A)。舉例來說,吸收層234的消光係數(k)在約0.04至約1的範圍。另外,吸收層234的折射率可在約0.88至約1.02的範圍。舉例來說,吸收層234可包含銀(silver,Ag)、鉑(platinum,Pt)、金(gold,Au)、鎢(tungsten,W)、鎳(nickel,Ni)、鈷(cobalt,Co)、銅(copper,Cu)、鉻(chromium,Cr)、釩(vanadium,V)、鋅(zinc,Zn)、碲(tellurium,Te)、銥(iridium,Ir)、錫(tin,Sn)、前述之氧化物、前述之氮化物、前述之氮氧化物、或前述之組合。吸收層234可藉由沉積製程來形成,包含化學氣相沉積(CVD)製程、物理氣相沉積(PVD)製程、及/或其他適合的製程。
由於吸收層234之消光係數(k)為高消光係數(k),吸收層234之厚度可比以鉭為主的吸收層(例如吸收層212)之厚度更加降低,而達到所需之極紫外光的吸收率。舉例來說,吸收層234的厚度T2小於吸收層212(例如由以鉭為主之材料所形成)之厚度T1。舉例來說,吸收層234的厚度T2可小於吸收層212之厚度T1的一半。舉例來說,吸收層212之厚度 T1可在約60奈米至約80奈米的範圍(例如約為70奈米),以及吸收層234之厚度T2可在約20奈米至約40奈米的範圍(例如約為30奈米)。在曝光製程期間的遮罩遮蔽效應可被降低或排除。另外,可改善空間影像對比度。另外,可降低吸收層234之聚焦深度(depth of focus,DOF)。
然後,如第1F圖所示,根據一些實施例,藉由第一移除製程從犧牲多膜層圖案230A之頂面224移除在開口232C之外的吸收層234(即吸收層234之第一部分234A)。可配置第一移除製程以移除吸收層234之第一部分234A下方的光阻圖案222A。因此,藉由第一移除製程同時移除光阻圖案222A上方的吸收層234之第一部分234A。在一些實施例中,第一移除製程包含濕蝕刻製程或其他可應用的製程。此濕蝕刻製程例如為光阻剝除製程(photoresist stripping process),其可使用光阻剝除劑(photoresist stripper)、水性鹼性溶液(aqueous alkaline solution)、氨溶劑混合物、或有機溶劑。
然後,如第1G圖所示,根據一些實施例,藉由第二移除製程移除犧牲多膜層圖案230A之硬遮罩圖案220A、抗反射圖案216A、及吸收圖案212A,以暴露出蓋層210。配置第二移除製程以移除硬遮罩圖案220A、抗反射圖案216A、及吸收圖案212A之堆疊,並停止於蓋層210上。因此,蓋層210上之剩餘的吸收層234(即吸收層234之第二部分234B)可形成吸收圖案於蓋層210之一部分之上。舉例來說,在執行第一移除製程及第二移除製程之後,吸收層234之第二部分234B可作為光罩500A之吸收圖案234B。在一些實施例中,第二移除製程包 含乾蝕刻製程。在一些實施例中,執行使用包含氯氣(Cl2)、氟氣(F2)、碳(C)、氧氣(O2)、氮氣(N2)、SF6、CH3Cl、CH2Cl2、或前述之組合之蝕刻氣體的蝕刻製程。
然後,如第1H圖所示,根據一些實施例,形成光阻層240於吸收圖案234B及蓋層210之上。光阻層240可填充於吸收圖案234B之間的間隙並具有平滑且平坦的頂面241。在一些實施例中,光阻層240包含正光阻,並藉由旋轉塗佈製程及其之後的軟烘烤製程來形成。
然後,如第1I圖所示,根據一些實施例,藉由光微影製程在光阻層240(第1H圖)中形成開口242A。形成開口242A穿透光阻層240以暴露出蓋層210。可形成圍繞吸收圖案234B的開口242A。另外,圖案化光阻層240以形成如第1I圖所示之光阻圖案240A。在一些實施例中,光微影製程包含曝光、曝光後烘烤、顯影、清洗、乾燥(例如硬烘烤)、其他適合的製程、及/或前述之組合來形成光阻圖案240A。舉例來說,電子、離子、或光子束直接寫入可使用於遮罩圖案化製程中曝光的步驟。
然後,如第1J圖所示,根據一些實施例,移除蓋層210之一部分及反射多膜層206之一部分,以形成溝槽242B。可藉由使用光阻圖案240A作為蝕刻遮罩的蝕刻製程來形成溝槽242B。可形成溝槽242B穿透蓋層210及反射多膜層206。另外,溝槽242B可圍繞吸收圖案234B並暴露遮罩基板200之頂面201。在一些實施例中,蝕刻製程包含乾蝕刻製程。在形成溝槽242B之後,藉由濕蝕刻製程或乾蝕刻製程來移除光阻圖案 240A。濕蝕刻製程例如為光阻剝除製程,其可使用光阻剝除劑、水性鹼性溶液、氨溶劑混合物、或有機溶劑。乾蝕刻製程例如可為灰化製程(ashing process),其使用包含SF6、Cl2、CH3Cl、CF4、CH2Cl2、O2、H2O、其他自由基(radical)混合物、或前述之組合之蝕刻氣體。另外,蝕刻氣體可與N2、O2、NO、及/或H2O混合。
然後,如第1K圖所示,根據一些實施例,在吸收圖案234B之上並沿著溝槽242B之側壁表面245及底面247形成鈍化層270。鈍化層270可順應地形成於吸收圖案234B及蓋層210之上。另外,可形成鈍化層270於位於溝槽242B之外之包含反射多膜層206及蓋層210之堆疊的側壁表面205之上。配置鈍化層270以防止所產生之光罩500A之吸收圖案234B被汙染。在一些其他實施例中,鈍化層270為選擇性的。
在一些實施例中,鈍化層270由具有極紫外光高吸收率之材料所形成以消除不需要的反射。另外,為了清潔在光罩上之汙染的目的,鈍化層270可由具有高抗氫自由基(hydrogen radical-resistant)之材料所形成。舉例來說,鈍化層270可由氮化矽、氮氧化矽、硼、釕、或其他適合的材料所形成。舉例來說,鈍化層270可包含複數個薄膜對,例如鉬/矽薄膜對(例如在每一對薄膜對中鉬膜層在矽膜層之上或之下)。
在一些實施例中,鈍化層270可藉由電漿處理(plasma treatment)製程、溶膠-凝膠(sol-gel)製程、光化學反應(photochemical reaction)製程、或原子層沉積(ALD)製程、或其他可應用的製程來形成。舉例來說,可執行電漿處 理製程以暴露吸收圖案234B、蓋層210、溝槽242B之側壁表面245及底面247至選自由N2、NH3、N2H3、及NO2所組成之群組之以氮為主的氣體,以形成鈍化層270。
如第1K圖所示,根據一些實施例,在執行上述之製程之後形成光罩500A。
在一些實施例中,在第1K圖中所示之剖面圖中,光罩500A包含圖案部分410及邊界部分420。在一些實施例中,圖案部分410位於光罩500A之中心部分。因此,位於圖案部分410中的吸收圖案234B可作為光罩500A之光罩圖案。邊界部分420可圍繞圖案部分410。鈍化層270可順應地形成於圖案部分410及邊界部分420之頂面及側壁表面之上。另外,圖案部分410藉由溝槽242B與邊界部分420分隔。溝槽242B可完全或部分圍繞圖案部分410。舉例來說,當溝槽242B部分圍繞圖案部分410,接觸圖案部分410及邊界部分420之光罩500A的一部分可作為橋接部分(未繪示)。在一些實施例中,橋接部分可位於圖案部分410及邊界部分420之間,並連接至圖案部分410之一部分及邊界部分420之一部分。
在一些實施例中,製造光罩500A的製程包含使用犧牲多膜層圖案230A以阻擋蓋層210之一部分。另外,蓋層210之暴露的部分與犧牲多膜層圖案230A位於不同的高度。因此,所需要的吸收層234可分別形成於蓋層210之暴露的一部分之上及犧牲多膜層圖案230A之上的多個獨立的部分(例如第一部分234A及第二部分234B)之中。因此,可藉由移除沿著在犧牲多膜層圖案230A之上之吸收層的部分(第一部分234A)的 犧牲多膜層圖案230A來形成所產生的吸收圖案234B。可圖案化吸收層234以作為吸收圖案234B,而不需要使用蝕刻遮罩及後續的蝕刻製程。再者,吸收圖案234B的材料可具有高消光係數(k)(例如在約0.04至約0.09的範圍)。可進一步降低光罩500A之吸收圖案234B的厚度(例如減少至約20奈米至約40奈米的範圍),以在曝光製程中降低或排除遮罩遮蔽效應。另外,可降低光罩500A之聚焦深度(DOF)。
第2A-2C圖為在執行形成如第1C圖所示之光罩500A之製程的階段之後製造光罩500A之製程之不同階段的剖面圖。在第2A-2C圖中所示的製程可提供光罩之所產生的吸收圖案之光微影製程之改善的解析度(resolution)。
如第2A圖所示,根據一些實施例,在形成硬遮罩圖案220A之後,從硬遮罩圖案220A移除光阻圖案222A(第1C圖)。在一些實施例中,藉由包含濕蝕刻製程或其他可應用的製程之移除製程來移除光阻圖案222A。濕蝕刻製程例如為光阻剝除製程,其可使用光阻剝除劑、水性鹼性溶液、氨溶劑混合物、或有機溶劑。
然後,可執行清洗製程以清洗硬遮罩圖案220A之表面。在一些實施例中,清洗製程包含電漿預清洗製程或濕式清洗製程。然後,藉由蝕刻製程移除抗反射層216(第1C圖)未被下方的硬遮罩圖案220A覆蓋的部分。抗反射層216之蝕刻製程可停止於吸收層212上,以形成開口232B’穿透抗反射層216(第1C圖)。另外,圖案化抗反射層216以形成抗反射圖案216A。
然後,如第2B圖所示,根據一些實施例,藉由另一個蝕刻製程移除吸收層212未被硬遮罩圖案220A及抗反射圖案216A覆蓋的部分。吸收層212之蝕刻製程可停止於蓋層210。另外,吸收層212之蝕刻製程可形成開口232C’於吸收層212中(第2A圖)。形成開口232C’穿透吸收層212以暴露出蓋層210。另外,圖案化吸收層212以形成如第2B圖所示的吸收圖案212A。
如第2B圖所示,硬遮罩圖案220A、抗反射圖案216A、及吸收圖案212A可使用於形成覆蓋蓋層210之頂面211之一部分的犧牲多膜層圖案230A’。在一些實施例中,光阻圖案222A之移除可防止犧牲多膜層圖案受到不想要之圖案的倒塌問題。因此,可改善吸收圖案之光微影製程的解析度。
然後,如第2C圖所示,根據一些實施例,藉由沉積製程形成吸收層234於圖案化犧牲多膜層(例如犧牲多膜層圖案230A’)之上並覆蓋在開口232C中的蓋層210。吸收層234可包含一或多個第一部分234A及一或多個第二部分234B。可形成吸收層234之第一部分234A與犧牲多膜層圖案230A’之頂面224’接觸。另外,可形成吸收層234之第二部分234B與蓋層210之頂面211及犧牲多膜層230A’之側壁表面235接觸。
然後,如第1K圖所示,根據一些實施例,執行在第1G至1K圖中所示之製程以形成光罩500A。在一些實施例中,如第2A圖所示之光阻圖案之移除製程可防止犧牲多膜層圖案受到不想要之圖案的倒塌問題。因此,可改善吸收圖案之光微影製程的解析度。
根據一些實施例,第3A至3C圖為製造光罩500B之製程之不同階段的剖面圖。光罩500B之材料、配置、結構、及/或製程可相似或相同於光罩500A之材料、配置、結構、及/或製程,因此前述之細節不在此處重複。光罩500A及光罩500B之間的其中一個差異為光罩500B之空白光罩250B包含了在蓋層210及吸收層212之間的黏合層332。
如第3A圖所示,可形成反射多膜層206於遮罩基板200之前側表面201之上。可形成蓋層210於反射多膜層206之上。空白光罩250B之遮罩基板200、反射多膜層206、及蓋層210的材料、配置、結構、及/或製程可相似或相同於空白光罩250A之遮罩基板200、反射多膜層206、及蓋層210的材料、配置、結構、及/或製程,因此前述之細節不在此處重複。
然後,如第3A圖所示,根據一些實施例,沉積黏合層332於蓋層210之上。可配置黏合層332以改善蓋層210及後續的吸收層212之間的黏著性(adhesion)。另外,黏合層332可幫助偵測吸收層212之蝕刻製程中的終點。
在一些實施例中,黏合層332由鉻(Cr)、氧化鉻、氮化鉻、鈦(Ti)、氧化鈦、氮化鈦(TiN)、鉭(Ta)、氧化鉭、氮化鉭(TaN)、氮氧化鉭、或前述之組合所形成。另外,可藉由例如電漿增強化學氣相沉積(PECVD)的化學氣相沉積(CVD)製程來形成黏合層332。然而,也可使用其他替代的製程,例如濺鍍或有機金屬化學氣相沉積(MOCVD)、物理氣相沉積(PVD)、原子層沉積(ALD)。
然後,沉積吸收層212於黏合層332之上。如第3A 圖所示,根據一些實施例,在執行前述之空白光罩製程之後,形成空白光罩250B。在一些實施例中,空白光罩250B包含遮罩基板200、反射多膜層206、蓋層210、黏合層332、及吸收層212。
然後,如第3A圖所示,根據一些實施例,形成犧牲多膜層230於空白光罩250B之蓋層210之上。在一些實施例中,犧牲多膜層230包含空白光罩250B之吸收層212、抗反射層216、硬遮罩層220、及光阻層222。
然後,如第3B圖所示,根據一些實施例,執行在第1B至1D圖中所示之製程以形成犧牲多膜層圖案230A於黏合層332之上。犧牲多膜層圖案230A覆蓋了黏合層332之頂面213的一部分。犧牲多膜層圖案230A可防止所產生之光罩500B的光罩圖案在後續的製程中形成於黏合層332之被阻擋的部分之上。另外,可提供黏合層332之剩餘的部分,其未被犧牲多膜層圖案230A覆蓋,以允許形成光罩圖案(例如在第3B中所示的吸收圖案234B)於此剩餘的部分之上。
然後,如第3B圖所示,根據一些實施例,藉由沉積製程形成吸收層234於圖案化犧牲多膜層(例如犧牲多膜層圖案230A)之上並覆蓋在開口232C中的黏合層332。形成吸收層234之第一部分234A與犧牲多膜層圖案230A之頂面224接觸。另外,形成吸收層234之第二部分234B與黏合層332之頂面213及犧牲多膜層圖案230A之側壁表面235接觸。
然後,如第3C圖所示,根據一些實施例,執行在第1F-1G圖中所示之製程以形成吸收圖案(即吸收層234之第二部分234B)於蓋層210之上。另外,可配置第二移除製程(第 1G圖)以更進一步移除未被吸收層234之第二部分234B覆蓋的黏合層332。因此,直接形成黏合圖案332A於對應的吸收圖案(即吸收層234之第二部分234B)之下。吸收圖案(即吸收層234之第二部分234B)可藉由黏合圖案332A與蓋層210分隔。
然後,如第3D圖所示,根據一些實施例,執行在第1H至1K圖中所示的製程以形成光罩500B。可配置黏合圖案332A以改善蓋層210與後續之吸收圖案(即吸收層234之第二部分234B)之間的黏著性。另外,黏合層332可幫助偵測吸收層212之蝕刻製程中的終點。
第4A至4C圖為在執行形成第3A圖中所示之光罩500B之製程的階段之後之製造光罩500B之製程的不同階段之剖面圖。在第4A至4C圖中所示之製程可提供吸收圖案之光微影製程之改善的解析度。
如第4A圖所示,根據一些實施例,在形成硬遮罩圖案220A之後,從硬遮罩圖案220A移除光阻圖案(藉由圖案化在第3A圖中所示之光阻圖案222所形成)。在一些實施例中,藉由相似或相同於在第2A圖中所示之光阻圖案222A(第1C圖)之移除製程的移除製程來移除光阻圖案,因此前述之細節不在此處重複。
然後,藉由使用硬遮罩圖案220A作為蝕刻遮罩圖案的蝕刻製程來形成抗反射圖案216A。在一些實施例中,此蝕刻製程相似或相同於在第2A圖中所示之抗反射圖案216A(第1C圖)之蝕刻製程,因此前述之細節不在此處重複。
然後,如第4B圖所示,根據一些實施例,執行在 第2B圖中所示之蝕刻製程以形成覆蓋黏合層332之頂面213之一部分的犧牲多膜層圖案230A’。在一些實施例中,光阻圖案的移除可防止犧牲多膜層圖案受到不想要之圖案的倒塌問題。因此,可改善吸收圖案之光微影製程的解析度。
然後,如第4C圖所示,根據一些實施例,執行在第2C圖中所示之沉積製程以形成吸收層234於圖案化犧牲多膜層(例如犧牲多膜層圖案230A’)之上並覆蓋在開口232C’中的黏合層332。可形成吸收層234之第一部分234A與犧牲多膜層圖案230A’之頂面224接觸。另外,可形成吸收層234之第二部分234B與黏合層332之頂面213及犧牲多膜層圖案230A’之側壁表面235接觸。
然後,如第3D圖所示,根據一些實施例,執行在第1F至1K圖中所示之製程以形成光罩500B。可配置黏合層332以改善蓋層210及後續的吸收層圖案(即吸收層234之第二部分234B)之間的黏著性。另外,黏合層332可幫助偵測吸收層212之蝕刻製程中的終點。
根據一些實施例,第5A至5E圖為製造光罩500C之製程的不同階段的剖面圖。光罩500C之材料、配置、結構、及/或製程可相似或相同於光罩500A之材料、配置、結構、及/或製程,因此前述之細節不在此處重複。光罩500A及光罩500C之間的其中一個差異為光罩500C之空白光罩250C包含了在蓋層210及吸收層334之間的黏合層332。
如第5A圖所示,可形成反射多膜層206於遮罩基板200之前側表面201之上。蓋層210可形成於反射多膜層206之 上。沉積黏合層332於蓋層210之上。空白光罩250C之遮罩基板200、反射多膜層206、蓋層210、及黏合層332的材料、配置、結構、及/或製程可相似或相同於空白光罩250B之遮罩基板200、反射多膜層206、蓋層210、及黏合層332的材料、配置、結構、及/或製程,因此前述之細節不在此處重複。
然後,如第5A圖所示,根據一些實施例,沉積吸收層334於黏合層332之上。吸收層334的材料、配置、結構、及/或製程可相似或相同於吸收層234(第1E圖)的材料、配置、結構、及/或製程,因此前述之細節不在此處重複。
然後,如第5A圖所示,根據一些實施例,在執行前述之空白遮罩的製程之後,形成空白光罩250C。在一些實施例中,空白光罩250C包含遮罩基板200、反射多膜層206、蓋層210、黏合層332、及吸收層334。
然後,如第5A圖所示,根據一些實施例,形成犧牲多膜層330於空白光罩250C之吸收層334之上。在一些實施例中,犧牲多膜層330包含硬遮罩層220及光阻層222。可形成硬遮罩層220於吸收層334之上。另外,可形成光阻層222於硬遮罩層220之上。在第5A圖中所示之硬遮罩層220及光阻層222之材料、配置、結構、及/或製程可相似或相同於在第1A圖中所示之硬遮罩層220及光阻層222之材料、配置、結構、及/或製程,因此前述之細節不在此處重複。
然後,如第5B圖所示,根據一些實施例,藉由圖案化製程來形成光阻圖案222A及硬遮罩圖案220A於吸收層334之上。形成開口352A穿透犧牲多膜層330(在第5A圖中所 示之光阻層222及硬遮罩層220)以暴露出吸收層334之一部分。因此,圖案化犧牲多膜層330以形成在第5B圖中所示之犧牲多膜層圖案330A。
在一些實施例中,圖案化製程包含光阻層222之光微影製程(或蝕刻製程)及硬遮罩層220之蝕刻製程。可配置光阻層222之圖案化製程以形成光阻圖案222A。硬遮罩層220之蝕刻製程可停止於吸收層334之上並形成硬遮罩圖案220A。在第5B圖中所示之光阻層222之圖案化製程及硬遮罩層220之蝕刻製程可相似或相同於在第1B及1C圖中所示之光阻層222之圖案化製程及硬遮罩層220之蝕刻製程,因此前述之細節不在此處重複。
然後,如第5C圖所示,根據一些實施例,可藉由蝕刻製程360來形成吸收層334之一部分及黏合層332之一部分。蝕刻製程可使用光阻圖案222A及硬遮罩圖案220A的堆疊作為遮罩來移除未被光阻圖案222A及硬遮罩圖案220A覆蓋的吸收層334及黏合層332。
在蝕刻製程360的過程中,可提供黏合層332來偵測吸收層334與下方的黏合層332之間的訊號轉換。另外,黏合層332可幫助偵測在蝕刻製程360中吸收層334的蝕刻終點。因此,可控制蝕刻製程360停止於蓋層210之上。可形成開口352B穿透吸收層334及黏合層332以暴露出蓋層210。另外,圖案化吸收層334以形成吸收圖案334A。再者,在執行蝕刻製程360之後圖案化黏合層332以形成黏合圖案332A。可形成吸收圖案334A於黏合圖案332A上方並與其接觸。可形成黏合圖案332A 與蓋層210之頂面211接觸。
在一些實施例中,蝕刻製程360包含乾蝕刻製程。在一些實施例中,執行使用包含氯氣(Cl2)、氟氣(F2)、碳(C)、氧氣(O2)、氮氣(N2)、SF6、Cl2、CH3Cl、CF4、CH2Cl2、O2、H2O、或前述之混合氣體之蝕刻氣體的蝕刻製程360。另外,在執行吸收層334及黏合層332之蝕刻製程360之後,可蝕刻出光阻圖案222A(第5B圖)。
然後,如第5D圖所示,根據一些實施例,藉由蝕刻製程移除光阻圖案222A以暴露出吸收圖案334A及黏合圖案332A。在一些實施例中,蝕刻製程包含乾蝕刻製程。在一些實施例中,蝕刻製程包含執行使用以鹵素為主的氣體,例如Cl2、CHF3、CH3F、C4F8、CF4、SF6、CF3Cl、SF6、Cl2、CH3Cl、CF4、CH2Cl2、O2、H2O、其他自由基混合氣體、或前述之組合的乾蝕刻製程。在移除光阻圖案222A之後,吸收圖案334A及對應的黏合圖案332A可形成為覆蓋蓋層210之一部分的多個堆疊。
然後,如第5E圖所示,根據一些實施例,藉由圖案化製程移除蓋層210之一部分及反射多膜層206之一部分以形成溝槽342。形成溝槽342穿透吸收圖案334A、黏合圖案332A、蓋層210、及反射多膜層206。溝槽342可暴露出遮罩基板200之頂面201。另外,被溝槽342圍繞之吸收圖案334A可作為所產生之光罩500C的光罩圖案。
在一些實施例中,圖案化製程可包含光微影製程及後續的蝕刻製程。配置光微影製程以形成光阻圖案(未繪示)於吸收圖案334A及蓋層210上。配置蝕刻製程以移除蓋層210 及反射多膜層206未被光阻圖案覆蓋的部分。另外,光微影製程可包含光阻塗佈(例如旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、及乾燥(例如硬烘烤)。蝕刻製程可包含乾蝕刻製程。
然後,如第5E圖所示,根據一些實施例,於吸收圖案334A、黏合圖案332A之上及沿著溝槽342之側壁表面345及底面347形成鈍化層370。順應地形成鈍化層370於吸收圖案334A上。另外,在位於溝槽342之外的包含吸收圖案334A、黏合圖案332A、反射多膜層206、及蓋層210之堆疊的側壁表面305上形成鈍化層370。配置鈍化層370以防止所產生之光罩500C之吸收圖案334A受到汙染。在一些其他實施例中,鈍化層370為選擇性的。鈍化層370之材料、配置、結構、及/或製程可相似或相同於在第1K圖中所示之鈍化層270之材料、配置、結構、及/或製程,因此前述之細節不在此處重複。
如第5E圖所示,根據一些實施例,在執行前述的製程之後,形成光罩500C。
在一些實施例中,在第5E圖所示之剖面圖中,光罩500C包含圖案部分410及邊界部分420。在一些實施例中,圖案部分410位於光罩500C之中心部分。因此,位於圖案部分410中的吸收圖案334A可作為光罩500C之光罩圖案。邊界部分420可圍繞圖案部分410。鈍化層370可順應地形成於圖案部分410及邊界部分420之上。另外,圖案部分410藉由溝槽342與邊界部分420分隔。當溝槽342部分圍繞圖案部分410,接觸圖案部分410及邊界部分420之光罩500C的一部分可作為橋接部分(未 繪示)。
在一些實施例中,光罩500C之吸收圖案334A具有高消光係數(k)(例如在約0.04至約0.09的範圍)。因此,可進一步降低光罩500C之吸收圖案334A的厚度(例如減少至約20奈米至約40奈米的範圍)。在曝光製程中降低或排除遮罩遮蔽效應。另外,可降低光罩500C之聚焦深度(DOF)。另外,製造光罩500C之製程包含使用形成於吸收層334及蓋層210之間的黏合層332。在用於形成吸收圖案334A之蝕刻製程360期間,可提供下方的黏合層332來偵測吸收層334與下方的黏合層332之間的訊號轉換。另外,可容易地偵測到在蝕刻製程360中吸收層334之終點。因此,可良好地控制用於形成吸收圖案334A之蝕刻製程360。
根據一些實施例,第6A至6E圖為製造光罩500D之製程之不同階段的剖面圖。光罩500D之材料、配置、結構、及/或製程可相似或相同於光罩500C之材料、配置、結構、及/或製程,因此前述之細節不在此處重複。光罩500C及光罩500D之間的其中一個差異為光罩500D包含了形成於空白光罩250C之吸收層334之上的犧牲多膜層380。在一些實施例中,犧性多膜層380包含抗反射層216、硬遮罩層220、及光阻層222。在第6A至6E圖中所示之製程可提供吸收圖案之光微影製程之改善的解析度。
然後,藉由在第5B圖中所示之圖案化製程來執行在第1C圖中所示之製程,以形成光阻圖案(相似於在第1C圖中所示的光阻圖案222A)及硬遮罩圖案220A於抗反射層216之 上。形成開口352A’穿透硬遮罩層220以形成硬遮罩圖案220A,並暴露出抗反射層216之一部分。在形成硬遮罩圖案220A之後,如第6B圖所示,根據一些實施例,藉由在第2A圖中所示之製程從硬遮罩圖案220A移除光阻圖案。
然後,如第6C圖所示,根據一些實施例,執行在第2A圖中所示之抗反射層216的蝕刻製程以形成抗反射圖案216A。形成開口352B’穿透抗反射層216以形成硬遮罩圖案220A並暴露出抗反射層216之一部分。如第6C圖所示,硬遮罩圖案220A及抗反射圖案216A之堆疊可使用於形成覆蓋吸收層334之頂面之一部分(第6B圖)的犧牲多膜層圖案380A。
然後,如第6C圖所示,根據一些實施例,執行在第5C圖中所示之蝕刻製程360以形成黏合圖案332A及吸收圖案334A。可執行蝕刻製程360以形成開口352B’穿透吸收層334及黏合層332以暴露出蓋層210(第6B圖)。因此,圖案化抗反射層216以形成抗反射圖案216A。另外,圖案化吸收層334以形成吸收圖案334A。再者,在執行蝕刻製程360之後圖案化黏合層332以形成黏合圖案332A。
然後,如第6D圖所示,根據一些實施例,藉由移除製程移除硬遮罩圖案220A以暴露出抗反射圖案216A。抗反射圖案216A、吸收層334、及黏合層332位於蓋層210之上。在執行移除製程之後,吸收層334可作為光罩500D之吸收圖案。在一些實施例中,移除製程包含乾蝕刻製程。在一些實施例中,執行使用包含氯氣(Cl2)、氟氣(F2)、碳(C)、氧氣(O2)、氮氣(N2)、SF6、CH3Cl、CH2Cl2、或前述之混合氣 體之蝕刻氣體的蝕刻製程。
然後,執行相似於在第5E圖中所示之製程的製程以形成鈍化層370於圖案部分410及邊界部分420上。鈍化層370可覆蓋抗反射圖案216A、吸收層334、及黏合層332。另外,圖案部分410藉由溝槽342與邊界部分420分隔。如第5E圖中所示,根據一些實施例,在執行上述之製程之後形成光罩500D。在一些實施例中,光阻圖案之移除製程可防止犧牲多膜層圖案受到不想要之圖案的倒塌問題。因此,可改善吸收圖案之光微影製程的解析度。
如前所討論,製造光罩500A之方法包含形成具有開口232C的犧牲多膜層230,以暴露出蓋層210。然後,形成吸收層234於犧牲多膜層230之上並覆蓋開口232C中的蓋層210。此方法更包含移除在犧牲多膜層230中之開口232C外的吸收層234,以形成吸收圖案234B於蓋層210之一部分上。因此,可形成所產生的吸收圖案234B而不需要使用遮罩及後續的蝕刻製程來圖案化吸收層234。另外,吸收圖案234B的材料之消光係數(k)可高於以鉭為主之材料的消光係數(k)(例如在約0.04至約0.09的範圍)。可進一步降低光罩500A之吸收圖案234B的厚度(例如減少至約20奈米至約40奈米的範圍)。因此,可在曝光製程中降低或消除遮罩遮蔽效應,並且可降低光罩500B之聚焦深度(DOF)。
如前所述,製造光罩500B的製程包含沉積黏合層332於吸收層212及蓋層210之間。配置黏合層332以改善蓋層210及後續的吸收圖案(例如吸收層234之第二部分234B)之間 的黏著性。另外,黏合層332可幫助偵測吸收層212在蝕刻製程中的終點。
如前所述,製造光罩500C及500D的製程包含沉積黏合層332於吸收層334及蓋層210之間。此方法更包含使用硬遮罩圖案220A作為遮罩來移除吸收層334及黏合層332之部分,以形成黏合圖案332A及在黏合圖案332A上方的吸收圖案334A。在一些實施例中,黏合層332可幫助偵測在用來形成吸收圖案334A之蝕刻製程360中之吸收層334的終點。當吸收層334使用具有高消光係數(k)(例如在約0.04至約0.09的範圍)的吸收材料,可良好地控制吸收層334的蝕刻製程。可進一步減少光罩500C及500D之吸收圖案334A的厚度。因此,可在曝光製程中降低或排除遮罩遮蔽效應,並且可降低光罩500C及500D之聚焦深度(DOF)。
提供光罩及其製造方法的實施例。此方法包含形成吸收層於犧牲多膜層之上,並覆蓋在犧牲多膜層中之開口中的蓋層。此方法更包含移除在犧牲多膜層中之開口外的吸收層,以形成吸收圖案於蓋層之一部分上。因此,可在不需要使用遮罩及後續的蝕刻製程來圖案化吸收層之下形成所產生的吸收圖案。另外,吸收圖案的材料可具有消光係數(k)在約0.04至約0.09的範圍。可降低光罩之吸收圖案的厚度。可降低或排除在曝光製程中的遮罩遮蔽效應。
在一些實施例中,提供一種光罩之製造方法。此方法包含形成反射多膜層於遮罩基板之前側表面之上。此方法更包含形成蓋層於此反射多膜層之上。此方法更包含形成犧牲 多膜層於此蓋層之上。此方法更包含形成開口於此犧牲多膜層之中以暴露出此蓋層。此方法更包含形成第一吸收層於此犧牲多膜層之上,並覆蓋此開口中之蓋層。此方法更包含移除此犧牲多膜層中之開口外的第一吸收層,以形成第一吸收圖案於此蓋層之一部分上。在一些實施例中,此第一吸收層的形成包含:形成此第一吸收層之第一部分與此犧牲多膜層之頂面接觸;以及形成此第一吸收層之第二部分與此蓋層之頂面及此犧牲多膜層之側壁表面接觸,其中同時形成此第一吸收層之此第一部分及此第二部分。在一些實施例中,移除此犧牲多膜層中之此開口外的此第一吸收層包含移除在此犧牲多膜層之頂面上的此第一吸收層之一部分。在一些實施例中,此光罩之製造方法更包含:在移除此犧牲多膜層之此開口外的此第一吸收層之後,移除此犧牲多膜層以暴露出此蓋層。在一些實施例中,形成此犧牲多膜層包含:形成抗反射層於此蓋層之上;形成硬遮罩層於此抗反射層之上;以及形成第一光阻層於此硬遮罩層之上。在一些實施例中,形成此第一開口於此犧牲多膜層中包含:圖案化此第一光阻層以形成第一光阻圖案於此硬遮罩層之上;移除此硬遮罩層未被此第一光阻圖案覆蓋的一部分,以形成硬遮罩圖案於此抗反射層之上;以及移除此抗反射層未被此第一光阻圖案及此硬遮罩圖案覆蓋的一部分,以形成抗反射圖案於此蓋層之上。在一些實施例中,形成此犧牲多膜層包含:在形成此抗反射層之前,形成第二吸收層於此蓋層之上,其中此第一吸收層之消光係數(extinction coefficient)大於此第二吸收層之消光係數。在一些實施例中,形成此第一開口於此犧 牲多膜層中包含:移除此第二吸收層未被此第一光阻圖案、此硬遮罩圖案、及此抗反射圖案覆蓋的一部分。在一些實施例中,此光罩之製造方法更包含:移除此蓋層之一部分及此反射多膜層之一部分,以形成圍繞此吸收圖案及暴露此遮罩基板的溝槽;以及在形成此第一吸收圖案之後,於此吸收圖案之上並沿著此溝槽之側壁表面及底面形成一鈍化層。在一些實施例中,此鈍化層的形成包含電漿處理製程、溶膠-凝膠製程、光化學反應製程、或原子層沉積製程。在一些實施例中,此光罩之製造方法更包含:形成導電層於相對於此遮罩基板之此前側表面的背側表面之上。
在一些實施例中,提供一種光罩之製造方法。此方法包含:形成反射多膜層於遮罩基板之前側表面之上。此方法更包含形成蓋層於此反射多膜層之上。此方法更包含沉積黏合層於此蓋層之上。此方法更包含沉積吸收層於此黏合層之上。此方法更包含形成硬遮罩圖案於此吸收層之上。此方法更包含使用此硬遮罩圖案作為遮罩來移除此吸收層及此黏合層之複數部分,以形成黏合圖案以及於此黏合圖案上方之此吸收圖案。在一些實施例中,此光罩之製造方法更包含:在形成此吸收圖案以及此黏合圖案之後,移除此硬遮罩圖案。在一些實施例中,此光罩之製造方法更包含:移除此蓋層及此反射多膜層之複數部分,以形成圍繞此吸收圖案及暴露此遮罩基板的溝槽;以及於此吸收圖案、此黏合圖案之上、以及沿著此溝槽之側壁表面及底面形成鈍化層。在一些實施例中,此鈍化層的形成包含:暴露此溝槽之此側壁表面及此底面至以氮為主的氣 體,此以氮為主的氣體選自由N2、NH3、N2H3、及NO2所組成之群組。在一些實施例中,此光罩之製造方法更包含:形成導電層於相對於此遮罩基板之此前側表面的背側表面之上。
在一些實施例中,提供一種光罩。此光罩包含:遮罩基板、反射多膜層、蓋層、黏合圖案、及吸收圖案。遮罩基板具有前側表面及背側表面。反射多膜層位於此遮罩基板之此前側表面之上。蓋層位於此反射多膜層之上。黏合圖案位於此蓋層之上。吸收圖案位於此黏合圖案之上。在一些實施例中,此黏合圖案由鉻、氧化鉻、氮化鉻、鈦、氧化鈦、氮化鈦、鉭、氧化鉭、氮化鉭、氮氧化鉭、或前述之組合所形成。在一些實施例中,此吸收圖案由Ag、Pt、Au、W、Ni、Co、Cu、Cr、V、Zn、Te、Ir、Sn、前述之氧化物、前述之氮化物、或前述之組合所形成。在一些實施例中,此光罩更包含:一鈍化層,於此吸收圖案及此黏合圖案之上,其中此鈍化層在邊界部分中位於此反射多膜層及此蓋層的側壁表面上以及在圖案部分中位於反射多膜層及此蓋層的側壁表面上。
前述概述了一些實施例的部件,使得本揭露所屬技術領域中具有通常知識者可以更加理解本揭露實施例的觀點。本揭露所屬技術領域中具有通常知識者應可理解,他們可以輕易使用本揭露實施例作為基礎,設計或修改其他的製程或是結構,以達到與在此介紹的實施例相同的目的及/或優點。本揭露所屬技術領域中具有通常知識者也應理解,此類等效的結構並不悖離本揭露實施例的精神與範疇,並且在不悖離本揭露實施例的精神與範疇的情況下,在此可以做各種的改變、取 代和替換。因此,本揭露之保護範圍當視後附之申請專利範圍所界定為準。

Claims (1)

  1. 一種光罩之製造方法,包括:形成一反射多膜層於一遮罩基板之一前側表面之上;形成一蓋層於該反射多膜層之上;形成一犧牲多膜層於該蓋層之上;形成一開口於該犧牲多膜層之中以暴露出該蓋層;形成一第一吸收層於該犧牲多膜層之上,並覆蓋該開口中之該蓋層;以及移除該犧牲多膜層中之該開口外的該第一吸收層,以形成一第一吸收圖案於該蓋層之一部分上。
TW107135081A 2017-11-15 2018-10-04 光罩之製造方法 TW201923441A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762586276P 2017-11-15 2017-11-15
US62/586,276 2017-11-15
US16/019,754 2018-06-27
US16/019,754 US11086215B2 (en) 2017-11-15 2018-06-27 Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same

Publications (1)

Publication Number Publication Date
TW201923441A true TW201923441A (zh) 2019-06-16

Family

ID=66431268

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107135081A TW201923441A (zh) 2017-11-15 2018-10-04 光罩之製造方法

Country Status (3)

Country Link
US (1) US11086215B2 (zh)
CN (1) CN109782524A (zh)
TW (1) TW201923441A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764604B (zh) * 2020-06-18 2022-05-11 台灣積體電路製造股份有限公司 製造反射遮罩之方法
TWI787966B (zh) * 2020-10-16 2022-12-21 台灣積體電路製造股份有限公司 極紫外光遮罩與其形成方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11360376B2 (en) * 2019-08-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method for forming the same
JP2021071685A (ja) * 2019-11-01 2021-05-06 凸版印刷株式会社 反射型マスク及び反射型マスクの製造方法
US11204545B2 (en) 2020-01-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
JP2022054941A (ja) * 2020-09-28 2022-04-07 凸版印刷株式会社 反射型フォトマスクブランク及び反射型フォトマスク
JP6966013B1 (ja) * 2020-10-14 2021-11-10 凸版印刷株式会社 反射型マスク及び反射型マスクの製造方法
KR20230098678A (ko) * 2020-11-20 2023-07-04 엔테그리스, 아이엔씨. 포토리소그래피에 사용하기 위한 위상 시프트 레티클
JP2022107355A (ja) * 2021-01-08 2022-07-21 株式会社トッパンフォトマスク 反射型フォトマスクブランク及び反射型フォトマスク

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930004115B1 (ko) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
KR100253345B1 (ko) * 1997-11-07 2000-06-01 김영환 반도체 소자의 마스크 제조방법
KR20010004043A (ko) * 1999-06-28 2001-01-15 김영환 이유브이 노광 장비용 반사 마스크의 제조방법
US6562522B1 (en) * 1999-10-29 2003-05-13 Intel Corporation Photomasking
DE10155112B4 (de) * 2001-11-09 2006-02-02 Infineon Technologies Ag Reflexionsmaske für die EUV-Lithographie und Herstellungsverfahren dafür
DE10223113B4 (de) * 2002-05-21 2007-09-13 Infineon Technologies Ag Verfahren zur Herstellung einer photolithographischen Maske
US6777137B2 (en) * 2002-07-10 2004-08-17 International Business Machines Corporation EUVL mask structure and method of formation
US6913706B2 (en) * 2002-12-28 2005-07-05 Intel Corporation Double-metal EUV mask absorber
US6905801B2 (en) * 2002-12-28 2005-06-14 Intel Corporation High performance EUV mask
US7935637B2 (en) * 2007-08-16 2011-05-03 International Business Machines Corporation Resist stripping methods using backfilling material layer
JP5292747B2 (ja) * 2007-09-14 2013-09-18 凸版印刷株式会社 極端紫外線用反射型フォトマスク
JP4602430B2 (ja) * 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
DE112009000965B4 (de) * 2008-05-09 2020-08-20 Hoya Corp. Reflektive Maske und Verfahren zum Herstellen einer reflektiven Maske
JP2011108942A (ja) * 2009-11-19 2011-06-02 Renesas Electronics Corp 反射型露光用マスク、反射型露光用マスクの製造方法、および、半導体装置の製造方法
JP5502450B2 (ja) * 2009-12-21 2014-05-28 株式会社東芝 反射型露光用マスク、反射型露光用マスクの検査方法、及び反射型露光用マスクの洗浄方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
JP5971122B2 (ja) * 2011-02-01 2016-08-17 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5990961B2 (ja) * 2012-03-22 2016-09-14 凸版印刷株式会社 反射型マスク
JP5921953B2 (ja) * 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) * 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8765331B2 (en) * 2012-08-17 2014-07-01 International Business Machines Corporation Reducing edge die reflectivity in extreme ultraviolet lithography
JP2014090132A (ja) * 2012-10-31 2014-05-15 Toppan Printing Co Ltd 反射型マスクおよびその製造方法
JP2014127630A (ja) * 2012-12-27 2014-07-07 Asahi Glass Co Ltd Euvリソグラフィ用反射型マスクブランクおよびその製造方法
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9341941B2 (en) * 2013-08-01 2016-05-17 Samsung Electronics Co., Ltd. Reflective photomask blank, reflective photomask, and integrated circuit device manufactured by using reflective photomask
US9291890B2 (en) * 2013-10-11 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for repairing a mask
JP6301127B2 (ja) * 2013-12-25 2018-03-28 Hoya株式会社 反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
US9728444B2 (en) * 2015-12-31 2017-08-08 International Business Machines Corporation Reactive ion etching assisted lift-off processes for fabricating thick metallization patterns with tight pitch
US20180299765A1 (en) * 2017-04-12 2018-10-18 Globalfoundries Inc. Extreme ultraviolet lithography (euvl) reflective mask
US10962873B2 (en) * 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US10809613B2 (en) * 2018-09-25 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI764604B (zh) * 2020-06-18 2022-05-11 台灣積體電路製造股份有限公司 製造反射遮罩之方法
US11500282B2 (en) 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11886109B2 (en) 2020-06-18 2024-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. EUV photo masks and manufacturing method thereof
TWI787966B (zh) * 2020-10-16 2022-12-21 台灣積體電路製造股份有限公司 極紫外光遮罩與其形成方法

Also Published As

Publication number Publication date
US20190146331A1 (en) 2019-05-16
CN109782524A (zh) 2019-05-21
US11086215B2 (en) 2021-08-10

Similar Documents

Publication Publication Date Title
TW201923441A (zh) 光罩之製造方法
US8679707B2 (en) Method of fabricating a lithography mask
US8765330B2 (en) Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US9612523B2 (en) Structure and method for reflective-type mask
US11740547B2 (en) Method of manufacturing extreme ultraviolet mask with reduced wafer neighboring effect
US11662656B2 (en) Mask and method of forming the same
TWI720357B (zh) 半導體裝置的製造方法
TW201929087A (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
TWI597567B (zh) 清洗光罩的方法及其系統
EP3575870B1 (en) Photomask blank, method of manufacturing photomask, and photomask
US9995999B2 (en) Lithography mask
TW202105045A (zh) 空白光罩、光罩之製造方法及光罩
US9429835B2 (en) Structure and method of photomask with reduction of electron-beam scatterring
TWI768650B (zh) 反射遮罩及其製造方法
TWI607278B (zh) 光罩及其製造方法
US20140272683A1 (en) Method Of Fabricating Mask
US20140272686A1 (en) Mask for Extreme Ultraviolet Lithography and Method of Fabricating Same
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
CN117250822A (zh) Euv光掩模及其制造方法