TWI764604B - 製造反射遮罩之方法 - Google Patents

製造反射遮罩之方法

Info

Publication number
TWI764604B
TWI764604B TW110107611A TW110107611A TWI764604B TW I764604 B TWI764604 B TW I764604B TW 110107611 A TW110107611 A TW 110107611A TW 110107611 A TW110107611 A TW 110107611A TW I764604 B TWI764604 B TW I764604B
Authority
TW
Taiwan
Prior art keywords
layer
absorber
cover
hard mask
reflective
Prior art date
Application number
TW110107611A
Other languages
English (en)
Other versions
TW202201113A (zh
Inventor
林雲躍
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201113A publication Critical patent/TW202201113A/zh
Application granted granted Critical
Publication of TWI764604B publication Critical patent/TWI764604B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一種製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩坯料包含基板、設置在基板之上之反射多層、設置在反射多層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、及設置在吸收劑層之上之硬遮罩層。圖案化光抗蝕劑層、藉由使用經光抗蝕劑層圖案化硬遮罩層、藉由使用經圖案化硬遮罩層圖案化覆蓋層及吸收劑層以形成開口、及去除硬遮罩層。吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。

Description

製造反射遮罩之方法
本揭露之一些實施例提供製造EUV光遮罩的方法。更具體而言,本揭露之一些實施例提供防止或抑制在EUV光遮罩的背側導電層上之損壞之技術。
光微影操作為半導體製造製程中的關鍵操作之一種。光微影技術包含紫外線微影、深紫外線光微影、及極紫外線微影(EUVL)。光遮罩為光微影操作中的重要組分。產製具有高對比度、高反射率部分、及高吸收率部分之EUV光遮罩至關重要。
根據本揭露之一些實施例,一種製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩坯料包含基板、設置在基板之上之反射多層、設置在反射 多層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、及設置在吸收劑層之上之硬遮罩層。圖案化光抗蝕劑層、藉由使用經光抗蝕劑層圖案化硬遮罩層、藉由使用經圖案化硬遮罩層圖案化覆蓋層及吸收劑層以形成開口、及去除硬遮罩層。吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。
根據本揭露之一些實施例,一種製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩坯料包含基板、設置在基板之上之反射多層、設置在反射多層上之阻障層、設置在阻障層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、設置在吸收劑層之上之覆蓋層、及設置在覆蓋層之上之硬遮罩層。圖案化光抗蝕劑層、藉由使用經光抗蝕劑層圖案化硬遮罩層、藉由使用經圖案化硬遮罩層圖案化覆蓋層及吸收劑層以形成開口、及去除硬遮罩層。阻障層包含氮化矽、BC、BN、CN、或石墨烯中的一種或更多種。
根據本揭露之一些實施例,一種製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩 坯料包含基板、設置在基板上的第一反射多層、設置在第一反射多層之上之第一覆蓋層、設置在第一覆蓋層之上之第一蝕刻停止層、設置在第一蝕刻停止層之上之第二反射多層、設置在第二反射多層之上之第二覆蓋層、設置在第二覆蓋層之上之第二蝕刻停止層、及設置在第二蝕刻停止層之上之硬遮罩層。圖案化光抗蝕劑層,藉由使用經光抗蝕劑層圖案化硬遮罩層,藉由使用經圖案化第二蝕刻停止層、第二覆蓋件層、及第二反射多層以形成溝槽,及採用EUV吸收材料藉由填充溝槽形成吸收劑層。
EB:光化輻射
5:EUV光遮罩坯料
10:基板
15:多層堆疊
18:阻障層
20:覆蓋件層
20A:覆蓋件層
22:中間層
25,25M,80:吸收劑層
25A:下層
25B:上層
27,82:覆蓋層,抗反射層
28,50:保護層
30:硬遮罩層
35:第一光抗蝕劑層
40~42,55,57,70~72:圖案化
45:背側導電層
48:上阻障層
50,65:第二光抗蝕劑層
51:上覆蓋層
52:上蝕刻停止層
56:上多層反射層
80L:形成層
EUV:極紫外線
S:基板
S101:步驟
S102:步驟
S103:步驟
S104:步驟
S105:步驟
S106:步驟
S107:步驟
S108:步驟
S201:步驟
S202:步驟
S203:步驟
S204:步驟
Si:矽層
Mo:鉬層
TL:目標層
PR:光阻層
R:反射遮罩
X1:數值
X2:數值
Y1:數值
Y2:數值
X:方向
Y:方向
Z:方向
當與隨附圖示一起閱讀時,可由以下的實施例最佳地理解本揭露之一些實施例內容的態樣。要強調者為,根據產業中之標準實務,並未按比例繪製各種特徵,且僅被用於例示目的。實際上,為論述的清楚性,可任意增加或減少各種特徵的尺寸。
第1A、1B、1C及1D圖繪示根據本揭露之一些實施例之EUV光遮罩坯料。
第2A圖繪示光遮罩坯料的剖面圖,第2B圖繪示根據本揭露之一些實施例之光遮罩的剖面圖。
第3A圖繪示光遮罩坯料的剖面圖,第3B圖繪示根據本揭露之一些實施例之光遮罩的剖面圖。
第4A圖繪示光遮罩坯料的剖面圖,第4B圖繪示根據本揭露之一些實施例之光遮罩的剖面圖。
第5A圖繪示光遮罩坯料的剖面圖,第5B圖繪示根據本揭露之一些實施例之光遮罩的剖面圖。
第6A、6B及6C圖繪示根據本揭露之一些實施例的光遮罩的吸收劑層的剖面圖。
第7A、7B、7C、7D、7E、7F圖示意性地例示根據本揭露之一些實施例之產製EUV光遮罩的方法。
第8A、8B、8C及8D圖示意性地例示根據本揭露之一些實施例之產製EUV光遮罩的方法。
第9A及9B圖示意性地例示根據本揭露之一些實施例之產製EUV光遮罩的方法。
第10A、10B、10C及10D圖示意性地例示根據本揭露之一些實施例之產製EUV光遮罩的方法。
第11A、11B、11C及11D圖示意性地例示根據本揭露之一些實施例之產製EUV光遮罩的方法。
第12A及12B圖示意性地例示根據本揭露之一些實施例之產製EUV光遮罩的方法。
第13圖繪示製作EUV光遮罩坯料之方法的流程圖。
第14A圖繪示製作半導體元件之方法的流程圖,且第14B、14C、14D及14E圖繪示根據本揭露之一些實施例之製作半導體元件的方法的依序製造操作。
應瞭解,以下的揭露內容提供用於實行本揭露之一些實施例的不同特徵或範例。以下描述組件及佈置之特定實施例或範例以簡化本揭露之一些實施例。當然,此等僅為範例且未意圖具限制性。舉例而言,並未將元件的尺寸限於所揭露內容的範圍或值,而是可取決於製程條件及/或元件的符合需求的性質。此外,在後文的描述中,在第二特徵之上或上之第一特徵的形成可包含以直接接觸方式形成第一特徵及第二特徵的實施例,且亦可包含形成額外特徵而插入在第一特徵與第二特徵間,使得第一特徵及第二特徵可不直接接觸的實施例。為簡單起見及清楚起見,能以不同比例任意地繪製各種特徵。
進一步地,為便於描述,本揭露之一些實施例中可使用諸如「在...之下」、「在...下方」、「較低」、「在...上方」、「較高」、及類似者的空間相對術語,以描述圖 示中所例示之一個元件或特徵與另一元件(等)或特徵(等)的關係。除圖示中所描繪之定向之外,空間相對術語亦意圖涵蓋元件在使用或操作中之不同定向。元件能以其他方式定向(旋轉90度或以其他定向),且本揭露之一些實施例中使用之空間相對描述語可同樣以相應的方式解釋。此外,術語「由...所製成」意指「包括」或「由...組成」。在本揭露內容中,術語「A、B、及C之一者」係意指「A、B、及/或C」(A、B、C、A及B、A及C、B及C、或A、B、及C),且除非另作說明,並未意旨來自A的一個元素、來自B的一個元素、及來自C的一個元素。可將參照一個實施例中所說明之材料、配置、製程、及/或尺寸可運用在其他實施例中,並可省略其詳細描述。
本揭露之一些實施例提供製造EUV光遮罩的方法。更具體而言,本揭露之一些實施例提供防止或抑制在EUV光遮罩的背側導電層上之損壞之技術。
EUV微影(EUVL)運用使用在極紫外線(EUV)區(具有約1奈米至約100奈米,舉例而言,13.5奈米)的波長)中之光之掃描儀。遮罩為EUVL系統的關鍵組件。由於光學材料對EUV輻射不透明,因此EUV光遮罩為反射遮罩。在反射結構之上所設置之吸收劑層中形成電路圖 案。吸收劑層具有低EUV反射率,舉例而言,小於3至5%。本揭露內容提供具有低反射(高吸收)吸收劑結構之EUV反射光遮罩。
第1A圖及第1B圖繪示根據本揭露之一些實施例之EUV反射光遮罩坯料。第1D圖繪圖準備用於EUV微影之經圖案化EUV反射光遮罩。第1A圖為X方向與Y方向平面視圖(從頂部觀看之上視圖),第1B圖為沿著X方向之剖面圖(X方向與Z方向)。以下實施例所描述之一些層未繪示於第1A圖至第1D圖中。
在一些實施例中,具有電路圖案之EUV光遮罩是由EUV光遮罩坯料5所形成。EUV光遮罩坯料5包含基板10、多個交替的矽層Si與鉬層Mo的多層Mo/Si堆疊層15、覆蓋件層20、吸收劑層25、覆蓋層(或抗反射層)27、及硬遮罩層30。進一步地,如第1B圖中所圖示,在基板10的背側上形成背側導電層45。
在一些實施例中,基板10是由低熱膨脹材料所形成日。在一些實施例中,基板為低熱膨脹玻璃或石英,諸如熔融矽石或熔融石英。在一些實施例中,低熱膨脹玻璃基板透射在可見波長處之光、接近可見光譜之一部分的紅外波長(近紅外線)、及一部分的紫外線波長。在一些實施 例中,低熱膨脹玻璃基板吸收極紫外線線波長及接近極紫外線之深紫外線波長。在一些實施例中,基板10的尺寸X1×Y1為約152毫米×約152毫米,具有約20毫米的厚度,其中數值X1可大於、等於或小於數值Y1。在其他實施例中,基板10的尺寸X1×Y1小於152毫米×152毫米並等於或大於148毫米×148毫米。在一些實施例中,基板10的形狀為正方形或矩形。
在一些實施例中,基板上方的功能層(多層Mo/Si堆疊15、覆蓋件層20、吸收劑層25、覆蓋層27、及硬遮罩層30)具有比基板10更小的寬度。在一些實施例中,功能層的尺寸X2 x Y2在從約138毫米×138毫米至142毫米×142毫米的範圍間,其中數值X2可大於、等於或小於數值Y2。在一些實施例中,功能層的形狀為正方形或矩形。
在其他實施例中,吸收劑層25、覆蓋層27、及硬遮罩層30具有比基板10、多層Mo/Si堆疊層15、及覆蓋件層20更小之尺寸,在自約138毫米×138毫米至約142毫米×142毫米之範圍間,如圖1C中所圖示。當藉由,舉例而言,濺鍍形成對應層時,可藉由使用具有在從約138毫米×138毫米至約142毫米×142毫米範圍間之開口之 框形蓋形成一個或更多個較小尺寸的功能層。在其他實施例中,基板10上方的所有層具有與基板10相同的尺寸。
在一些實施例中,Mo/Si多層堆疊15包含自約30個交替的矽及鉬層的對至約60個交替的矽及鉬層的對。在某些實施例中,形成自約40個至約50個交替的矽及鉬層的對。在一些實施例中,對於感興趣的波長,例如,13.5奈米,反射率高於約70%。在一些實施例中,藉由化學氣相沉積(CVD)、電漿加強CVD(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)(濺鍍)、或任何其他合適的膜形成的方法形成矽層及鉬層。矽及鉬的各層為約2奈米至約10奈米厚。在一些實施例中,矽及鉬的層為約相同的厚度。在其他實施例中,矽和鉬的層為不同的厚度。在一些實施例中,每個矽層的厚度為約4奈米,且每個鉬層的厚度為約3奈米。在一些實施例中,多層堆疊15的最底部層為矽層Si或鉬層Mo。
在其他實施例中,多層堆疊15包含交替的鉬層及鈹層。在一些實施例中,多層堆疊15中之層的數量在自從約20至約100範圍間,但只要保持足夠的反射率以供成像目標基板,即可允許任意數量的層。在一些實施例中,對於感興趣的波長,例如,13.5奈米,反射率高於約70 %。在一些實施例中,多層堆疊15包含約30至約60個Mo及Be的交替層。在本揭露之一些實施例中,多層堆疊15包含Mo及Be中的各約40至約50個交替的層。
在一些實施例中,在Mo/Si多層15上設置覆蓋件層20以防止多層堆疊15的氧化。在一些實施例中,覆蓋件層20由元素的釕(大於99% Ru、非Ru化合物)、釕合金(例如,RuNb、RuZr、RuZrN、RuRh、RuNbN、RuRhN、RuV、RuVN、RuIr、RuTi、RuB、RuP、RuOs、RuPd、RuPt、或RuRe)、或釕基的氧化物(例如,RuO2、RuNbO、RiVO、或RuON)所製成,具有自約2奈米至約10奈米的厚度。在一些實施例中,覆蓋件層20為釕化合物\RuxM1-x,其中M為Nb、Ir、Rh、Zr、Ti、B、P、V、Os、Pd、Pt、或Re中的一種或更多種,x大於零且等於或小於約0.5。
在一些實施例中,覆蓋件層20的厚度為自約2奈米至約5奈米。在一些實施例中,覆蓋件層20具有3.5奈米±10%的厚度。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積(例如,濺鍍)、或任何其他合適的膜形成方法,以形成覆蓋件層20。在其他實施例中,將矽層Si用作覆蓋件層20。在一 些實施例中如以下所闡述,在覆蓋層與多層15間設置一層或更多層。
在一些實施例中,覆蓋件層20包含兩層或更多層的不同材料。在一些實施例中,覆蓋件層20包含兩層或更多層的不同的Ru基的材料。在一些實施例中,覆蓋件層20包含具有下層及上層之兩層,且上層具有比下層更高的碳吸收阻抗性,且下層在吸收劑蝕刻期間具有更高的蝕刻阻抗性。在某些實施例中,覆蓋件層20包含在RuRh基礎層(RuRh或RuRhN)上所設置之RuNb基層(RuNb或RuNbN)。
在覆蓋件層20之上設置吸收劑層25。吸收劑層包含具有大於約0.03或大於約0.045k值之高EUV吸收材料。在一些實施例中,吸收劑層25為Ta基的材料。在一些實施例中,吸收劑層25由TaN、TaO、TaB、TaBO、或TaBN所製成。在其他實施例中,吸收劑層25包含Cr基的材料,諸如CrN、CrBN、CrO、及/或CrON。在一些實施例中,吸收劑層25具有Cr、CrO、或CrON的多層結構。在一些實施例中,吸收劑層為Ir或Ir基的材料,諸如,IrRu、IrPt、IrN、IrAl、IrSi、或IrTi。在一些實施例中,吸收劑層為Ru基的材料,諸如,IrRu、RuPt、 RuN、RuAl、RuSi、或RuTi、或Pt基的材料、PtIr、RuPt、PtN、PtAl、PtSi、或PtTi。在其他實施例中,吸收劑層包含Os基的材料、Pd基的材料、或Re基的材料。在本揭露內容的一些實施例中,X基的材料意指X的量等於或大於50原子百分比(atomic%)。
在其他實施例中,吸收劑層材料由AxBy所代表,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自約0.25:1至約4:1。在一些實施例中,x不同於y(較小或較大)。在一些實施例中,吸收劑層進一步包含大於零至大約10原子百分比的量之Si、B、或N中的一種或更多種。
在一些實施例中,吸收劑層25的厚度為從25奈米至約100奈米之範圍間,並在其他實施例中由為50奈米至約75奈米之範圍。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他合適的膜形成方法,以形成吸收劑層25。在一些實施例中,如後文所闡述,在覆蓋件層20與吸收劑層25間設置一層或更多層。
在一些實施例中,將覆蓋層或抗反射層27設置在吸收劑層25之上。在一些實施例中,覆蓋層27包含Ta 基的材料,諸如,TaB、TaO、或TaBO、矽、矽基的化合物(例如,氧化矽、SiN、SiON、或MoSi)、釕、或釕基的化合物(Ru或RuB)。在某些實施例中,覆蓋層27由氧化鉭(Ta2O5或非化學計量的(例如,缺氧的)氧化鉭)所製成,並具有自約2奈米至約20奈米的厚度。在其他實施例中,將具有在自約2奈米至約20奈米之範圍間之厚度之TaBO層用作覆蓋層。在一些實施例中,覆蓋層27的厚度為在從約2奈米至約5奈米的範圍間。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他合適的膜形成方法,以形成覆蓋層27。
進一步地,在一些實施例中,在覆蓋層27之上形成保護層28(參見第2A圖及2B)。保護層28包含矽化合物,諸如氧化矽、氮化矽、SiON、SiOCN、或MoSiN。在其他實施例中,保護層28包含BN或BC。在一些實施例中,保護層28的厚度為自約0.5奈米至約5奈米,在其他實施例中為自約1奈米至約3奈米。
在一些實施例中,將硬遮罩層30設置在保護層28之上。在一些實施例中,當吸收劑層25由Ta基的材料所製成時,硬遮罩層30由Cr基的材料,諸如CrO、 CrON、或CrCON,所製成。在其他實施例中,硬遮罩層30由Ta基的材料所製成,諸如TaB、TaO、TaBO、或TaBN,而吸收劑層25由Cr基的材料所製成。在其他實施例中,硬遮罩層30由矽、矽基的化合物(例如,SiN或SiON)、釕、或釕的基化合物(Ru或RuB)所製成。在一些實施例中,硬遮罩層30具有約4奈米至約20奈米的厚度。在一些實施例中,硬遮罩層30包含兩個或更多個不同的材料層。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他合適的膜形成方法,以形成硬遮罩層30。
在一些實施例中,將背側導電層45設置在基板10的第二主表面上,此第二主表面與在其上所形成之Mo/Si多層15的基板10的第一主表面相對。在一些實施例中,背側導電層45由TaB(硼化鉭)或其他Ta基的導電材料所製成。在一些實施例中,硼化鉭為晶體。晶體硼化鉭包含TaB、Ta5B6、Ta3B4、及TaB2。在其他實施例中,硼化鉭為多晶體或非晶。在其他實施例中,背側導電層45由Cr基的導電材料(CrN或CrON)所製成。在一些實施例中,背側導電層45的薄層電阻等於或小於20Ω/□。在某些實施例中,背側導電層45的薄層電阻等於或大於 0.1Ω/□。在一些實施例中,背側導電層45的表面粗糙度Ra等於或小於0.25奈米。在某些實施例中,背側導電層45的表面粗糙度Ra等於或大於0.05奈米。進一步地,在一些實施例中,背側導電層45的平坦度等於或小於50奈米。在一些實施例中,背側導電層45的平坦度大於1奈米。在一些實施例中,背側導電層45的厚度在從約50奈米至約400奈米之範圍間。在其他實施例中,背側導電層45具有約50奈米至約100奈米的厚度。在某些實施例中,厚度由在從約65奈米至約75奈米之範圍間。在一些實施例中,背側導電層45藉由大氣化學氣相沉積(CVD)、低壓CVD、電漿增強CVD、雷射增強CVD、原子層沉積(ALD)、分子束晶磊術(MBE)、包含熱沉積之物理氣相沉積、脈衝雷射沉積、電子束蒸發、離子束輔助蒸發及濺鍍、或任何其他合適的膜形成方法,所形成。在CVD的情況下,在一些實施例中,來源氣體包含TaC5及BCl3
第2A圖及第2B圖至第5A圖及第5B圖繪示遮罩坯料(第2A、3A、4A、5A圖)及經圖案化光遮罩(第2B、3B、4B、5B圖)的各種結構。
在一些實施例中,如第2A圖及第2B圖所示,在覆蓋件層20與吸收劑層25間形成中間層22。在一些實 施例中,中間層22在吸收劑層的圖案化操作期間作為蝕刻停止層,並用於保護覆蓋件層20。在一些實施例中,中間層22包含Ta基的化合物,諸如TaB、TaO、TaBO、或TaBN;Cr基的化合物,諸如CrO、CrON、或CrN;矽;矽基的化合物(例如,氧化矽、SiN(Si:N為約1:1至約3:4)、SiON或MoSi);鉬;鈮;氧化鈮;釕;釕基的化合物(RuO2或RuB);BC(B及C的原子比值為自約1:1至約4:1);BN;CN(C及N的原子比值為約1:1至約3:4);或二維材料,諸如石墨烯。在一些實施例中,中間層由AxBy所代表,其中A為Ta及/或Cr,且B為Si、O、N、Al、或Ti中的一種或更多種,在一些實施例中,x:y為自約0.2:1至約5:1。
在其他實施例中,中間層22包含金屬氧化物,諸如,氧化鈮、氧化鉭、氧化釕、及/或氧化鉬。在其他實施例中,中間層22包含元素的金屬(非化合物),諸如Mo、Ta、Cr、Ni、Co、及/或Ir。在某些實施例中,中間層22由氧化鉭(Ta2O5或非化學計量的(例如,缺氧的)氧化鉭)所製成。在一些實施例中,中間層22由與覆蓋層22相同或類似的材料製成。在一些實施例中,中間層22具有比Ru(氫阻障層)更低的氫擴散率及/或比Ru(抵抗碳或烴 污染之保護層)更低的碳溶解度或反應度。
在一些實施例中,中間層22具有約0.5奈米至約5奈米的厚度,並在其他實施例中,厚度在從約1奈米至約3奈米之範圍間。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積、或任何其他合適的膜形成方法,以形成中間層22。
在其他實施例中,中間層22為光催化層,其可在暴露於EUV輻射時,即將在光遮罩上所形成之烴殘留物催化成CO2及/或H2O。因此,進行遮罩表面的原位自清潔。在一些實施例中,在EUV掃描儀系統中,將氧氣及氫氣注入至EUV腔室中以維持腔室壓力(例如,在約2Pa)。腔室背景氣體可為用於清潔操作之氧氣源。除了光催化功能之外,將光催化層設計成具有足夠的耐久性及對各種化學物質及各種化學製程,諸如清潔及蝕刻,的阻抗性。在一些範例中,在後續的製程中,被使用於製作EUV反射遮罩之臭氧化水損壞由Ru所製成的覆蓋件層20,並獲致顯著的EUV反射率下降。此外,Ru氧化之後,Ru氧化物容易藉由,諸如Cl2或CF4氣體之蝕刻劑所蝕刻掉。在一些實施例中,光催化層包含氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)、及硫化鎘(CdS)中的一種或更多種。在一 些實施例中,光催化層22的厚度在自約2奈米至約10奈米之範圍間,並在其他實施例中,在自約3奈米至約7奈米之範圍間。當厚度太薄時,光催化層可能不足以作為蝕刻停止層。當厚度太大時,光催化層可吸收EUV輻射。
進一步地,在一些實施例中,在多層15與覆蓋件層20間形成阻障層18,且多層15的最上層為矽層Si,其不與覆蓋件層20接觸。在一些實施例中,阻障層18包含矽化合物,諸如氧化矽、氮化矽、SiON、SiOCN、或MoSiN。在其他實施例中,阻障層18包含金屬氧化物,諸如,氧化鈮、氧化鉭、氧化釕、及/或氧化鉬。在其他實施例中,阻障層18包含元素的金屬(非化合物),諸如Be、Mo、Ta、Cr、Ni、Co、及/或Ir。在一些實施例中,阻障層包含BN或BC。
在一些實施例中,阻障層18的厚度在從約0.5奈米至約5奈米之範圍間,且在其他實施例中,厚度在從約1奈米至約3奈米之範圍間。在一些實施例中,藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、物理氣相沉積、熱或化學氧化、或任何其他合適的膜形成方法,以形成阻障層18。
當由Ru或Ru合金層所製成的覆蓋件層20與多 層堆疊15的矽層Si直接接觸時,由於在Ru基的層與矽層Si間之界面處之氫擴散及氫堆積,Ru基的層可具有對矽層Si之較弱黏附性。在第2A圖及2B中所圖示的實施例中,在多層堆疊15與覆蓋件層20間插入阻障層18之情況下,可在覆蓋層與多層堆疊15間保持足夠的鍵合或黏附強度。
如第2B圖中所圖示,在形成電路圖案42之後,去除硬遮罩層30,且因此EUV光遮罩並未包含硬遮罩層。
在一些實施例中,如第3A圖及3B中所圖示,多層堆疊15的最上層為鉬層Mo。其餘的層結構與參照第2A圖及2B所說明之層結構相同,因此省略其詳細描述。換言之,多層15的最上層的矽層Si並未與阻障層18接觸。如第3B圖所示,在形成電路圖案42之後,移除硬遮罩層30,且因此EUV光遮罩並未包含硬遮罩層。
在一些實施例中,如第4A圖及第4B圖所示,並未形成阻障層18。多層堆疊體15的最上層為矽層Si。在一些實施例中,在同一膜沉積設備之中在多層堆疊15上連續地形成覆蓋件層20A。在一些實施例中,將離子束沉積製程使用於藉由改變目標材料以形成多層堆疊15及覆蓋 件層20A。與藉由不同的沉積設備形成覆蓋層、或在形成覆蓋件層20A之前將多層堆疊15暴露於大氣的情況相比,經連續地形成覆蓋件層20A具有對多層堆疊15更高的黏附強度。其餘的層結構與參照第2A圖及第2B圖所說明之層結構相同,因此省略其詳細描述。
在一些實施例中,如第5A圖及第5B圖所示,並未形成阻障層18,且多層堆疊15的最上層為鉬層Mo。在一些實施例中,如前文所闡述,在相同的膜沉積設備內在多層堆疊15上連續地形成覆蓋件層20A。當由Ru或Ru合金層所製成的覆蓋層與多層堆疊15的矽層Si直接接觸時,由於在Ru基的層與矽層Si間之界面處之氫擴散及氫堆積,Ru基的層可具有對矽層Si之較弱黏附性。在第5A圖及第5B圖中所圖示之一些實施例中,其中多層堆疊15是藉由鉬層Mo所終止,在覆蓋層與多層堆疊15間保持足夠的鍵合或黏附強度。
第6A圖、第6B圖及第6C圖繪示根據本揭露之一些實施例的光遮罩的吸收劑層的剖面圖。
吸收劑層25的配置並不限於上述實施例。在第6A圖中,吸收劑層25為單一層。在一些實施例中,吸收劑層為下層25A及上層25B的雙層,如第6B圖所示。可 將如前文所說明之兩種材料的任何組合用於下層25A及上層25B。在一些實施例中,下層及上層中的一個為如前文所列之Ru基的材料,而另一個為如前文所列之Ir基的材料。下層25A的厚度可與上層25B的厚度相同或不同(更小或更大)。
在其他實施例中,如第6C圖所示,吸收劑層25M具有多層結構(大於兩層且等於或小於10層)。在一些實施例中,兩種材料在吸收劑層25M中交替地堆疊,並在其他實施例中,使用三種或更多種材料。在使用兩種材料的情況下,此兩種材料具有與第6B圖的雙層相同或類似的配置。
第7A圖至第7F圖以及第8A圖至第8D圖示意性地例示使用於極紫外線微影(EUVL)產製EUV光遮罩的方法。可以瞭解,可在第7A圖至第8D圖所示之製程之前、期間、及之後提供額外的操作,並針對此方法的額外的實施例而言,可替換或消除後文所描述之一些操作。可互換操作/製程的順序。在第7A圖至第7F圖以及第8A圖至第8D圖中,為簡單起見省略備選的保護層28及阻障層18。進一步地,多層堆疊的最上層可為鉬層Mo。
在EUV光遮罩的產製時,如第7A圖所示,在 EUV光遮罩坯料的硬遮罩層30之上形成第一光抗蝕劑層35,並如第7B圖中所圖示,將光抗蝕劑層35選擇性地暴露於光化輻射EB。在一些實施例中,在形成第一光抗蝕劑層35之前,使EUV光遮罩坯料經受檢查。如第7C圖所示,顯影經選擇性地曝光的第一光抗蝕層35以在第一光抗蝕劑層35中形成圖案40。在一些實施例中,光化輻射EB為電子束或離子束。在一些實施例中,圖案40對應至將在後續操作中使用EUV光遮罩以形成半導體元件特徵的圖案。
接下來,如第7D圖所示,將第一光抗蝕劑層35中之圖案40延伸至硬遮罩層30中,而在硬遮罩層30中形成暴露覆蓋層27的一部分之圖案41。在一些實施例中,藉由使用對覆蓋層27有選擇性之合適的濕式或乾式蝕刻劑(進行)蝕刻,形成延伸至硬遮罩層30中之圖案41。在形成硬遮罩層30中之圖案41之後,藉由光抗蝕劑剝離劑去除第一光抗蝕劑層35以暴露硬遮罩層30的上表面,如第7E圖所示。
接著,將硬遮罩層30中之圖案41延伸至覆蓋層27及吸收劑層25中,從而在覆蓋層27及吸收劑層25中形成暴露中間層22的一部分之圖案42(見第8A圖),如 第7F圖所示,接著如第8A圖所示去除硬遮罩層30。在一些實施例中,藉由使用對中間層22具有選擇性之合適的濕式或乾式蝕刻劑(進行)蝕刻,形成延伸至覆蓋層27及吸收劑層25中之圖案42。在一些實施例中,使用電漿乾式蝕刻。在一些實施例中,當中間層22由與硬遮罩層30相同或類似的材料所製成時,或當中間層22及硬遮罩層30具有與吸收劑層25的蝕刻類似的蝕刻電阻率時,蝕刻大致上在中間層22處停止。在一些實施例中,藉由使用經構硬遮罩層30圖案化覆蓋層27,且接著藉由使用烴圖案化覆蓋層27作為具有或不具有硬遮罩層30之蝕刻遮罩圖案化吸收劑層25(即,覆蓋層作為硬遮罩)。
如第8B圖所示,在吸收劑層25之上形成第二光抗蝕劑層50,而填充覆蓋層27及吸收劑層25中之圖案42。將第二光抗蝕劑層50選擇性地暴露於光化輻射,諸如電子束、離子束、或UV輻射。如第8B圖所示,顯影經選擇性地曝光的第二光抗蝕層50以在第二光抗蝕劑層50中形成圖案55。圖案55對應至圍繞電路圖案之黑色邊框。黑色邊框係藉由去除電路圖案區域周圍區中之EUV光遮罩上之所有多層所創建之框形形狀區域。其係為了防止在晶圓上印刷EUV光遮罩時暴露毗鄰場域所創建。在一些 實施例中,黑色邊框的寬度在從約1毫米至約5毫米之範圍間。
接下來,將第二光抗蝕劑層50中之圖案55延伸至覆蓋層27、吸收劑層25、覆蓋件層20、及Mo/Si多層15中,而在吸收劑層25中形成圖案57(見第8D圖)、覆蓋件層20、及Mo/Si多層15,暴露基板10的一部分,如第8C圖所示。在一些實施例中,藉由使用對被蝕刻的每個層具有選擇性之一種或更多種合適的濕式或乾式蝕刻劑(進行)蝕刻,形成圖案57。在一些實施例中,使用電漿乾式蝕刻。
接著,藉由合適的光抗蝕劑剝離劑去除第二光抗蝕劑層50以暴露吸收劑層25的上表面,如第8D圖中所圖示。在揭露內容的一些實施例中,覆蓋層27、吸收劑層25、覆蓋件層20、及Mo/Si多層15中之黑色邊界圖案57界定光遮罩的黑色邊框。
第9A圖及第9B圖為根據本揭露之一些實施例的EUV反射光遮罩的剖面圖。可將參照如上述實施例所說明之材料、配置、製程、及/或尺寸運用在隨後的實施例中,並可省略其詳細描述。
在第9A圖及第9B圖的實施例中,將吸收劑層嵌 入多層反射層中。
如第9A圖所示,在中間層22上形成額外的上多層反射層56。上多層反射層56的結構與如前文所說明之多層結構15的結構相同。在一些實施例中,上多層反射層56的矽層及鉬層之對(pairs)的數量(或鉬層及Be層的對的數量)等於或小於多層結構15的對的數量,並在從約20至約40之範圍間。在上多層反射層56上形成上阻障層48。上阻障層48的材料具有與阻障層18相同或類似的配置,並包含如前文所說明參照阻障層18之一種或更多種材料。在一些實施例中,並未形成阻障層18或上阻障層48中的至少一個。
進一步地,在一些實施例中,在上阻障層之上形成上覆蓋層51。上覆蓋層51的材料具有與覆蓋件層20相同或類似的配置,並包含如前文所說明參照覆蓋件層20之一種或更多種材料。在一些實施例中,在上覆蓋層上形成上蝕刻停止層52。上蝕刻停止層52的材料具有與中間(蝕刻停止)層22相同或類似的配置,並包含如前文所說明參照中間層22之一種或更多種材料。
在一些實施例中,如第9A圖所示,在對應至在上蝕刻停止層52、上覆蓋層51、及上多層反射層56中所形 成之電路圖案之溝槽中形成吸收劑層80。吸收劑層80的材料具有與吸收劑層25相同或類似的配置(參見第2A圖至第6C圖),並包含如前文所說明參照吸收劑層25之一種或更多種材料。在一些實施例中,在吸收劑層25上形成抗反射層82。抗反射層82的材料具有與抗反射層27相同或類似的配置(參見第2A圖至第6C圖),並包含如前文所說明參照抗反射層27之一種或更多種材料。在一些實施例中,並未形成抗反射層。進一步地,在一些實施例中,在上蝕刻停止層52及抗反射層82(若存在)之上形成保護層85。保護層85的材料具有與保護層28相同或類似的配置(參見第2A圖至第6C圖),並包含如前文所說明參照保護層28之一種或更多種材料。在一些實施例中,並未形成一層或更多層的上阻障層48、上覆蓋層51、及上蝕刻停止層52。
在第9B圖中,將吸收劑層80至少部分地嵌入多層結構15中。在一些實施例中,吸收劑層80的底部與基板10間隔分開,並在其他實施例中,吸收劑層80的底部與基板10接觸。如第9B圖所示,在一些實施例中,在中間層22及抗反射層82(若存在上多層反射層56)之上形成保護層85。
第10A圖至第10D圖、第11A圖至第11D圖以及第12A圖至第12B圖示意性地例示如第9A圖所示之產製EUV光遮罩的方法。可以瞭解,可在第10A圖至第12B圖所示之製程之前、期間、及之後提供額外的操作,並針對此方法的額外的實施例而言,可替換或消除後文所描述之一些操作。可互換操作/製程的順序。可將參照如上述實施例所說明之材料、配置、製程、及/或尺寸運用在隨後的實施例中,並可省略其詳細描述。
第10A圖圖示遮罩胚料結構。在上蝕刻停止層52上形成硬遮罩材料30。如第10B圖所示,在EUV光遮罩坯料的硬遮罩30之上形成第一光抗蝕劑層65。將第一光抗蝕劑層65選擇性地暴露於光化輻射(例如,電子束),並顯影此選擇性地經暴露第一光抗蝕劑層65以在光抗蝕劑層65中形成圖案70,如第10C圖中所圖示。
接下來,將光抗蝕劑層65中之圖案70延伸至硬遮罩層30中,而在硬遮罩層30中形成暴露上蝕刻停止層52的一部分之圖案71,如第10D圖所示。在一些實施例中,藉由使用對上蝕刻停止層52有選擇性之合適的濕式或乾式蝕刻劑(進行)蝕刻,形成延伸至硬遮罩層30中之圖案71。在形成硬遮罩層30中之圖案71之後,藉由光抗蝕劑 剝離劑去除光抗蝕劑層65以暴露硬遮罩層30的上表面,如第10D圖所示。
接著,將硬遮罩層30中之圖案71延伸至上蝕刻停止層52、上覆蓋層51、上阻障層48及上多層反射層56中,從而形成溝槽圖案72,如第11A圖所示。在一些實施例中,溝槽蝕刻停止在中間(蝕刻停止)層22處。接著,如第11B圖所示移除硬遮罩層30。
接下來,如第11C圖所示,在溝槽圖案72中及上蝕刻停止層52之上形成用於吸收劑層80之一層或更多層80L。接著,進行平坦化操作,諸如化學機械研磨(CMP)操作,以去除上蝕刻停止層52上之多餘材料以形成吸收劑層(圖案)80,如第11D圖所示。在一些實施例中,在形成層80L之前並未移除硬遮罩層,並在CMP操作之後或期間去除硬遮罩層。
接著,在一些實施例中,在吸收劑層80上形成抗反射層82。當吸收劑層80由Ta基的材料所製成時,藉由吸收劑層80的氧化形成抗反射層82。隨後,如第12B圖所示,在抗反射層82及上蝕刻停止層52之上形成保護層85。進一步地,類似於採用第8B圖至第8D圖所說明之操作,形成黑色邊框圖案。
第13圖繪示製造一個或更多個上述實施例的遮罩坯料的流程圖。可以瞭解,可在第13圖所示之製程步驟之前、期間、及之後提供額外的操作,並針對此方法的額外的實施例而言,可替換或消除以後文所描述之一些操作。可互換操作/製程的順序。
在步驟S101處,在基板上形成多層堆疊。在一些實施例中,在形成多層堆疊之前,在基板上形成一層或更多層。在一些實施例中,藉由離子束沉積方法或濺鍍方法形成多層堆疊。在一些實施例中,多層堆疊體以矽層終止,且在其他實施例中,多層堆疊體以鉬層終止。在步驟S102處,在多層堆疊上形成阻障層。接著,在步驟S103處,在阻障層上形成覆蓋件層(capping layer)。
在一些實施例中,並未形成阻障層,且直接在多層堆疊上形成覆蓋件層。在一些實施例中,在與多層堆疊相同的沉積設備中,藉由離子束沉積方法在多層堆疊上連續地形成覆蓋件層。
在步驟S104處,在覆蓋件層上形成中間(阻障)層。在步驟S105處,在中間層上形成一層或更多層吸收劑層。在步驟S106處,在吸收劑層上形成覆蓋(抗反射)層(cover layer)。在一些實施例中,藉由沉積方法形成 吸收劑層,並在其他實施例中,藉由Ta基的吸收劑層的氧化形成吸收劑層。在步驟S107處,在覆蓋層上形成保護層,且在步驟S108處,在保護層上形成硬遮罩層。
在製造第9A圖所示之光遮罩的遮罩坯料時,重複操作步驟S101至步驟S104兩次,並跳過操作步驟S105至S107。
第14A圖繪示製作半導體元件之方法的流程圖,且第14B圖、第14C圖、第14D圖及第14E圖所繪示根據本揭露之一些實施例之製作半導體元件的方法的依序製造操作。提供一種待圖案化之基板半導體基板或其他合適的基板,以在其上形成積體電路。在一些實施例中,半導體基板包含矽。替代地或額外地,半導體基板包含鍺、矽鍺、或其他合適的半導體材料,諸如III-V族半導體材料。在第14A圖的步驟S201處(同時參閱第14B圖),在半導體基板S之上形成待圖案化之目標層TL。在某些實施例中,目標層TL為半導體基板。在一些實施例中,目標層TL包含導電層、諸如金屬層或多晶矽層;介電層,諸如氧化矽、氮化矽、SiON、SiOC、SiOCN、SiCN、氧化鉿、或氧化鋁;或半導體層,諸如晶磊形成的半導體層。在一些實施例中,在(諸如隔離結構、電晶體、或佈線 之)下層結構之上形成目標層TL。如第14B圖所示,在第14A圖的步驟S202處,在目標層TL之上形成光抗蝕劑層PR。在後續的光微影曝光製程期間,光抗蝕劑層PR對來自曝光源之輻射敏感。在本實施例中,光抗蝕劑層PR對在光微影曝光製程中所使用之EUV光敏感。可藉由旋塗塗覆或其他合適的技術在目標層之上形成光抗蝕劑層。可進一步烘烤經塗覆的光抗蝕劑層以驅除光抗蝕劑層中之溶劑。在第14A圖的步驟S203處,如第14B圖所示,使用如前文所闡述之EUV反射遮罩,圖案化光抗蝕劑層PR。光抗蝕劑層PR的圖案化包含藉由使用反射遮罩R(例如EUV遮罩)之極紫外線EUV的曝光系統進行光微影曝光製程。在曝光製程期間,將在EUV遮罩上所界定之積體電路(IC)設計圖案成像至光抗蝕劑層PR以在其上形成潛在圖案。光抗蝕劑層PR的圖案化進一步包含顯影經曝光光抗蝕劑層PR以形成具有一個或更多個開口之經圖案化光抗蝕劑層PR。在光抗蝕劑層為陽刻光抗蝕劑層的一個實施例中,在顯影製程期間去除光抗蝕劑層的經暴露部分。光抗蝕劑層PR的圖案化可進一步包含其他製程步驟,諸如在不同階段處之各種烘烤步驟。舉例而言,可在光微影曝光製程之後並在顯影製程之前實行曝光後曝光烘烤(PEB) 製程。
在第14A圖的步驟S204處,利用經圖案化光抗蝕劑層PR作為蝕刻遮罩圖案化目標層TL,如第14D圖所示。在一些實施例中,圖案化目標層TL包含使用經圖案化光抗蝕劑層PR作為蝕刻遮罩對目標層施加蝕刻製程。蝕刻暴露在經圖案化光抗蝕劑層的開口中之目標層TL的部分,同時保護其餘部分不受蝕刻。進一步地,如第14E圖所示,可藉由濕式剝離或電漿灰化去除經圖案化光抗蝕劑層PR。
在本揭露內容中,在將高EUV吸收材料(例如,Ir、Pt、及/或Ru基的材料)用作吸收劑層的情況下,可能獲得高對比度EUV反射遮罩。進一步地,可能減少吸收劑層的厚度,此舉可減少吸收劑層的三維作用。此外,在本揭露內容的一些實施例中,將阻障層設置在覆蓋層之下,此舉防止氫在EUV遮罩中與中間層一起擴散。此外,在本揭露內容的一些實施例中,多層是藉由鉬層Mo所終止,此舉防止覆蓋層從多層的剝離。
將可瞭解,本文並不必然已論述所有優點,所有實施例或範例均不需要特定的優點,且其他實施例或範例可能會提供不同的優點。
根據本申請案的一個態樣,反射遮罩包含基板、設置在基板之上之反射多層、設置在反射多層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、及設置在吸收劑層之上之覆蓋層。吸收劑層包含一層或更多層的Ir基的材料、Pt基的材料、或Ru基的材料。在一個或更多個上述及後述實施例中,吸收劑層包含由彼此不同的材料所製成的兩層。在一個或更多個上述及後述實施例中,吸收劑層包含三層或更多層。在一個或更多個上述及後述實施例中,覆蓋層由與中間層相同的材料所製成。在一個或更多個上述及後述實施例中,中間層及覆蓋層包含金屬氧化物。在一個或更多個上述及後述實施例中,反射遮罩進一步包含在覆蓋層及吸收劑層中所形成之電路圖案,且暴露在電路圖案的底部處之中間層。在一個或更多個上述及後述實施例中,反射多層包含交替地堆疊的Si層及Mo層,及反射多層的Si層與覆蓋件層接觸。在一個或更多個上述及後述實施例中,反射多層包含交替地堆疊的Si層及Mo層,及反射多層的Mo層與覆蓋件層接觸。
根據本揭露內容的另一態樣,反射遮罩包含基板、設置在基板之上之反射多層、設置在反射多層之上之阻障 層、設置在阻障層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、及設置在吸收之上之覆蓋層。阻障層包含氮化矽、BC、BN、CN、或石墨烯中的一種或更多種。在一個或更多個上述及後述實施例中,吸收劑層包含一層或更多層的Ir基的材料、Pt基的材料、或Ru基的材料。在一個或更多個上述及後述實施例中,一層或更多層的Ir基的材料、Pt基的材料、或Ru基的材料進一步包含Si、B或N中的一種或更多種。在一個或更多個上述及後述實施例中,吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。在一個或更多個上述及後述實施例中,吸收劑層進一步包含大於或等於零至10原子%的量之Si、B、或N中的一種或更多種。在一個或更多個上述及後述實施例中,中間層包含一材料,此材料與具覆蓋件層的材料相比具有更低的氫擴散率。在一個或更多個上述及後述實施例中,中間層包含BC、BN、CN、或石墨烯中的一種或更多種。在一個或更多個上述及後述實施例中,覆蓋件層包含RuxM1-x,其中M為Nb、Ir、Rh、Zr、Ti、B、P、V、Os、Pd、Pt、或Re中的一種或更多種,x大為零且等於或小於約 0.5。
根據本揭露內容的另一態樣,反射遮罩包含基板、設置在基板上之第一反射多層、設置在第一反射多層之上之第一覆蓋件層、設置在第一覆蓋件層之上之第一蝕刻停止層、設置在第一蝕刻停止層之上之第二反射多層、設置在第二反射多層之上之第二覆蓋件層、設置在第二覆蓋件層之上之第二蝕刻停止層、及設置在第二蝕刻停止層、第二覆蓋件層、及第二反射多層中所形成之溝槽中之吸收劑層、上質遮罩層;在一個或更多個上述及後述實施例中,反射遮罩進一步包含設置在吸收劑層及第二蝕刻停止層之上之保護層。在一個或更多個上述及後述實施例中,反射遮罩進一步包含設置在保護層及吸收劑層間之抗反射層。在一個或更多個上述及後述實施例中,在第二多層中之矽層及鉬層的對的數量等於或小於在第一多層中之矽層及鉬層的對的數量。
根據本揭露內容的另一態樣,在製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩坯料包含基板、設置在基板之上之反射多層、設置在反射多層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、及設置在吸收劑層之上之硬 遮罩層。圖案化光抗蝕劑層、藉由使用經光抗蝕劑層圖案化硬遮罩層、藉由使用經圖案化硬遮罩層圖案化覆蓋層及吸收劑層以形成開口、及去除硬遮罩層。吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。在一個或更多個上述及後述實施例中,中間層在圖案化吸收劑層時作為蝕刻停止層。在一個或更多個上述及後述實施例中,覆蓋層由與中間層相同的材料所製成。在一個或更多個上述及後述實施例中,吸收劑層包含由彼此不同的材料所製成的兩層。在一個或更多個上述及後述實施例中,吸收劑層包含三層或更多層。在一個或更多個上述及後述實施例中,反射多層包含交替地堆疊的Si層及Mo層,且反射多層的Si層與覆蓋件層接觸。在一個或更多個上述及後述實施例中,反射多層包含交替地堆疊的Si層及Mo層,且反射多層的Mo層與覆蓋件層接觸。在一個或更多個上述及後述實施例中,在與反射多層體相同的沉積設備中,藉由離子束沉積方法連續地形成覆蓋件層。
根據本揭露內容的另一態樣,在製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩坯料包含基板、設置在基板之上之反射多層、設置在反射 多層上之阻障層、設置在阻障層之上之覆蓋件層、設置在覆蓋件層之上之中間層、設置在中間層之上之吸收劑層、設置在吸收劑層之上之覆蓋層、及設置在覆蓋層之上之硬遮罩層。圖案化光抗蝕劑層、藉由使用經光抗蝕劑層圖案化硬遮罩層、藉由使用經圖案化硬遮罩層圖案化覆蓋層及吸收劑層以形成開口、及去除硬遮罩層。阻障層包含氮化矽、BC、BN、CN、或石墨烯中的一種或更多種。在一個或更多個上述及後述實施例中,吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。在一個或更多個上述及後述實施例中,吸收劑層包含一層或更多層的Ir基的材料、Pt基的材料、或Ru基的材料。在一個或更多個上述及後述實施例中,吸收劑層進一步包含大於或等於0至10原子百分比的量之Si、B、或N中的一種或更多種。在一個或更多個上述及後述實施例中,中間層包含一材料,此材料與具覆蓋件層的材料相比具有更低的氫擴散率。在一個或更多個上述及後述實施例中,中間層包含BC、BN、CN、或石墨烯中的一種或更多種。在一個或更多個上述及後述實施例中,中間層的厚度在從0.5nm至3nm的範圍間。
根據本揭露內容的另一態樣,在製造反射遮罩的方法包括以下步驟。在遮罩坯料之上形成光抗蝕劑層。遮罩坯料包含基板、設置在基板上的第一反射多層、設置在第一反射多層之上之第一覆蓋層、設置在第一覆蓋層之上之第一蝕刻停止層、設置在第一蝕刻停止層之上之第二反射多層、設置在第二反射多層之上之第二覆蓋層、設置在第二覆蓋層之上之第二蝕刻停止層、及設置在第二蝕刻停止層之上之硬遮罩層。圖案化光抗蝕劑層,藉由使用經光抗蝕劑層圖案化硬遮罩層,藉由使用經圖案化第二蝕刻停止層、第二覆蓋件層、及第二反射多層以形成溝槽,及採用EUV吸收材料藉由填充溝槽形成吸收劑層。在一個或更多個上述及後述實施例中,在吸收劑層及第二蝕刻停止層之上進一步形成保護層。在一個或更多個上述及後述實施例中,在形成吸收劑層中,在溝槽中及第二蝕刻停止層之上形成EUV吸收材料的層,及進行化學機械研磨以暴露第二蝕刻停止層。在一個或更多個上述及後述實施例中,在吸收劑層上形成抗反射層。在一個或更多個上述及後述實施例中,抗反射層係藉由氧化吸收劑層的一部分所形成。
根據本揭露內容的另一態樣,在製造反射遮罩坯料的方法包括以下步驟。在基板之上成反射多層、在反射多 層之上成覆蓋件層、在覆蓋件層之上成中間層、在中間層之上成吸收劑層、及在吸收層之上成硬遮罩層劑層。在與反射多層體相同的沉積設備中,藉由離子束沉積方法連續地形成覆蓋件層。在一個或更多個上述及後述實施例中,吸收劑層包含一層或更多層的Ir基的材料、Pt基的材料、或Ru基的材料。在一個或更多個上述及後述實施例中,吸收劑層包含由彼此不同的材料所製成的兩層。在一個或更多個上述及後述實施例中,吸收劑層包含三層或更多層。在一個或更多個上述及後述實施例中,覆蓋層由與中間層相同的材料所製成。在一個或更多個上述及後述實施例中,中間層及覆蓋層包含金屬氧化物。在一個或更多個上述及後述實施例中,在吸收劑層之上形成抗反射層。在一個或更多個上述及後述實施例中,反射多層包含交替地堆疊的Si層及Mo層,及反射多層的Si層與覆蓋件層接觸。在一個或更多個上述及後述實施例中,反射多層包含交替地堆疊的Si層及Mo層,及反射多層的Mo層與覆蓋件層接觸。
上述概述數種實施例或範例的特徵,因而熟習此項技藝者可更瞭解本揭露內容的態樣。熟習此項技藝者應當理解,熟習此項技藝者可輕易地使用本揭露內容作為設計 或修改其他製程及結構之基礎,以實現本文中所介紹之實施例或範例的相同目的及/或達成相同優點。熟習此項技藝者亦應當認知,此等效構造不脫離本揭露內容的精神及範圍,且在不脫離本揭露內容之精神及範圍之情況下,熟習此項技藝者可在本文中進行各種改變、替換、及變更。
10:基板
15:多層堆疊
20:覆蓋件層
22:中間層
25:吸收劑層
27:覆蓋層,抗反射層
30:硬遮罩層
41:圖案化
45:背側導電層

Claims (10)

  1. 一種製造反射遮罩的方法,該方法包括以下步驟:在一遮罩坯料之上形成一光抗蝕劑層,該遮罩坯料包含一基板、設置在該基板之上之一反射多層、設置在該反射多層上之一覆蓋件層、設置在該覆蓋件層之上之一中間層、設置在該中間層之上之一吸收劑層、設置在該吸收劑層之上之一覆蓋層、及設置在該覆蓋層之上之一硬遮罩層;圖案化該光抗蝕劑層;藉由使用經圖案化的該光抗蝕劑層,圖案化該硬遮罩層;藉由使用經圖案化的該硬遮罩層,圖案化該覆蓋層及該吸收劑層以形成一開口;以及移除該硬遮罩層,該吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。
  2. 如請求項1所述之方法,其中該中間層在圖案化該吸收劑層時作為一蝕刻停止層。
  3. 如請求項1所述之方法,其中:該反射多層包含交替地堆疊的矽層及鉬層,以及 該反射多層的一矽層與該覆蓋件層接觸。
  4. 如請求項1所述之方法,其中:該反射多層包含交替地堆疊的矽層及鉬層,以及該反射多層的一鉬層與該覆蓋件層接觸。
  5. 一種製造反射遮罩的方法,包含:在一遮罩坯料之上形成一光抗蝕劑層,該遮罩坯料包含一基板、設置在該基板之上之一反射多層、設置在該反射多層上之一阻障層、設置在該阻障層之上之一覆蓋件層、設置在該覆蓋件層之上之一中間層、設置在該中間層之上之一吸收劑層、設置在該吸收劑層之上之一覆蓋層、及設置在該覆蓋層之上之一硬遮罩層;圖案化該光抗蝕劑層;藉由使用經圖案化的該光抗蝕劑層,圖案化該硬遮罩層;藉由使用經圖案化的該硬遮罩層,圖案化該覆蓋層及該吸收劑層以形成一開口;以及移除該硬遮罩層,其中該阻障層包含氮化矽、BC、BN、CN、或石墨烯中的一種或更多種。
  6. 如請求項5所述之方法,其中該吸收劑層包含AxBy,其中A及B各為Ir、Pt、Ru、Cr、Ta、Os、 Pd、Al、或Re中的一種或更多種,且x:y為自0.25:1至4:1。
  7. 如請求項5所述之方法,其中該吸收劑層包含一層或更多層的Ir基的材料、一Pt基的材料、或一Ru基的材料。
  8. 一種製造反射遮罩的方法,包含:在一遮罩坯料之上形成一光抗蝕劑層,該遮罩坯料包含一基板、設置在該基板上之一第一反射多層、設置在該第一反射多層之上之一第一覆蓋件層、設置在該第一覆蓋件層之上之一第一蝕刻停止層、設置在該第一蝕刻停止層之上之一第二反射多層、設置在該第二反射多層之上之一第二覆蓋件層、設置在該第二覆蓋件層之上之一第二蝕刻停止層、及設置在該第二蝕刻停止層之上之一硬遮罩層;圖案化該光抗蝕劑層;藉由使用經圖案化的該光抗蝕劑層,圖案化該硬遮罩層;藉由使用經圖案化的該硬遮罩層,圖案化該第二蝕刻停止層、該第二覆蓋件層以及該第二反射多層以形成一溝槽;以及藉由採用一EUV吸收材料填充該溝槽,形成一吸收劑層。
  9. 如請求項8所述之方法,進一步包含:在該吸收劑層及該第二蝕刻停止層之上形成一保護層。
  10. 如請求項8所述之方法,其中該形成該吸收劑層進一步包含:在該溝槽中及該第二蝕刻停止層之上形成該EUV吸收材料的一層;以及進行一化學機械研磨以暴露該第二蝕刻停止層。
TW110107611A 2020-06-18 2021-03-03 製造反射遮罩之方法 TWI764604B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063041089P 2020-06-18 2020-06-18
US63/041,089 2020-06-18
US17/108,906 2020-12-01
US17/108,906 US11500282B2 (en) 2020-06-18 2020-12-01 EUV photo masks and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW202201113A TW202201113A (zh) 2022-01-01
TWI764604B true TWI764604B (zh) 2022-05-11

Family

ID=77524695

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110107611A TWI764604B (zh) 2020-06-18 2021-03-03 製造反射遮罩之方法

Country Status (3)

Country Link
US (3) US11500282B2 (zh)
CN (1) CN113359383A (zh)
TW (1) TWI764604B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220350233A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20230032950A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Euv photo masks and manufacturing method thereof
JP7480927B2 (ja) 2022-01-13 2024-05-10 Agc株式会社 反射型マスクブランク、反射型マスク、反射型マスクの製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109669318A (zh) * 2017-10-16 2019-04-23 格芯公司 极紫外(euv)光刻掩模
TW201923441A (zh) * 2017-11-15 2019-06-16 台灣積體電路製造股份有限公司 光罩之製造方法
CN110837203A (zh) * 2018-08-17 2020-02-25 台湾积体电路制造股份有限公司 光罩的制造方法
US20200103742A1 (en) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing euv photo masks

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9046781B2 (en) * 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
EP2905637A1 (en) * 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10962873B2 (en) * 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US11307489B2 (en) * 2018-08-30 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. EUV photomask and manufacturing method of the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109669318A (zh) * 2017-10-16 2019-04-23 格芯公司 极紫外(euv)光刻掩模
TW201923441A (zh) * 2017-11-15 2019-06-16 台灣積體電路製造股份有限公司 光罩之製造方法
CN110837203A (zh) * 2018-08-17 2020-02-25 台湾积体电路制造股份有限公司 光罩的制造方法
US20200103742A1 (en) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing euv photo masks

Also Published As

Publication number Publication date
US20240134266A1 (en) 2024-04-25
US11886109B2 (en) 2024-01-30
TW202201113A (zh) 2022-01-01
CN113359383A (zh) 2021-09-07
US11500282B2 (en) 2022-11-15
US20230071118A1 (en) 2023-03-09
US20210397075A1 (en) 2021-12-23

Similar Documents

Publication Publication Date Title
TWI764604B (zh) 製造反射遮罩之方法
US11592737B2 (en) EUV photo masks and manufacturing method thereof
US20230350283A1 (en) Euv photo masks and manufacturing method thereof
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
US11829062B2 (en) EUV photo masks and manufacturing method thereof
TWI785481B (zh) 反射遮罩及其製造方法
TWI790020B (zh) 反射型罩幕及其製造方法
TWI760057B (zh) 反射遮罩及其製造方法
US11506969B2 (en) EUV photo masks and manufacturing method thereof
TWI767567B (zh) 反射遮罩及其製造方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
CN117250822A (zh) Euv光掩模及其制造方法
CN116560175A (zh) Euv光掩模及其制造方法