TWI785481B - 反射遮罩及其製造方法 - Google Patents

反射遮罩及其製造方法 Download PDF

Info

Publication number
TWI785481B
TWI785481B TW110103318A TW110103318A TWI785481B TW I785481 B TWI785481 B TW I785481B TW 110103318 A TW110103318 A TW 110103318A TW 110103318 A TW110103318 A TW 110103318A TW I785481 B TWI785481 B TW I785481B
Authority
TW
Taiwan
Prior art keywords
layer
mask
reflective
hard mask
absorber
Prior art date
Application number
TW110103318A
Other languages
English (en)
Other versions
TW202144900A (zh
Inventor
許倍誠
連大成
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/109,833 external-priority patent/US11506969B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202144900A publication Critical patent/TW202144900A/zh
Application granted granted Critical
Publication of TWI785481B publication Critical patent/TWI785481B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一種反射遮罩包括一基板、設置於該基板上方的一反射性多層、設置於該反射性多層上方的一封蓋層、設置於該封蓋層上方的一中間層、設置於該中間層上方的一吸收體層及設置於該吸收體層上方的一覆蓋層。該中間層包括具有比該封蓋層的一材料低的一氫擴散率的一材料。

Description

反射遮罩及其製造方法
本揭露是有關一種反射遮罩及一種製造反射遮罩的方法。
光微影術操作係半導體製造製程中的關鍵操作之一。光微影術技術包括紫外線微影術、深紫外線微影術及極紫外線微影術(extreme ultraviolet lithography;EUVL)。光罩係光微影術操作中的重要元件。製造具高反射性部分及高吸收部分的具有高對比度的EUV光罩係至關重要的。
在一些實施例中,反射遮罩包含基板、反射性多層、封蓋層、中間層、吸收體層及覆蓋層。反射性多層設置於該基板上。封蓋層設置於反射性多層上方。中間層設置於封蓋層上方。吸收體層設置於中間層上方。覆蓋層設置於吸收體層上方。中間層包括具有比封蓋層的材料低的氫擴散率的材料。
在一些實施例中,製造反射遮罩的方法包含在空白 遮罩上方形成光阻劑層,空白遮罩包括基板、在基板上的反射性多層、在反射性多層上的封蓋層、在封蓋層上的中間層、在中間層上的吸收體層、在吸收體層上的覆蓋層及在覆蓋層上的硬遮罩層;圖案化光阻劑層;藉由使用經圖案化的光阻劑層來圖案化硬遮罩層;藉由使用經圖案化的硬遮罩層來圖案化覆蓋層及吸收體層以形成開口;及移除硬遮罩層,其中中間層的一部分在硬遮罩層移除之後在該開口中暴露。
在一些實施例中,製造反射遮罩的方法包含在空白遮罩上方形成光阻劑層,空白遮罩包括基板、設置於基板上方的反射性多層、設置於反射性多層上方的封蓋層、設置於封蓋層上方的中間層、設置於中間層上方的吸收體層、設置於吸收體層上方的覆蓋層及設置於覆蓋層上方的硬遮罩層;圖案化光阻劑層;藉由使用經圖案化的光阻劑層作為遮罩來圖案化硬遮罩層;藉由使用經圖案化的硬遮罩層作為遮罩來圖案化覆蓋層及吸收體層以形成開口;及移除硬遮罩層,其中反射性多層包括交替堆疊的矽層及鉬層,且反射性多層的最上矽層與封蓋層分開。
EB:光化輻射
5:EUV空白光罩
10:基板
15:多層
18:阻障層
20:封蓋層
22:中間層/光催化層
25:吸收體層
27:覆蓋(或抗反射)層
30:硬遮罩層
35:第一光阻劑層
40,41,42,55,57:圖案
45:背面導電層
50:第二光阻劑層
本揭露係在結合附圖閱讀時自以下詳細描述最佳地理解。要強調的是,根據產業中的標準作業,各種特徵未按比例繪製且僅用於說明目的。實際上,為論述清楚起見,各種特徵的尺寸可任意地增大或縮小。
第1A圖、第1B圖、第1C圖及第1D圖展示根據本揭露的實施例的EUV空白光罩。
根據本揭露的實施例,第2A圖展示空白光罩的橫截面圖且第2B圖展示光罩的橫截面圖。
根據本揭露的實施例,第3A圖展示空白光罩的橫截面圖且第3B圖展示光罩的橫截面圖。
根據本揭露的實施例,第4A圖展示空白光罩的橫截面圖且第4B圖展示光罩的橫截面圖。
根據本揭露的實施例,第5A圖展示空白光罩的橫截面圖且第5B圖展示光罩的橫截面圖。
根據本揭露的實施例,第6A圖展示空白光罩的橫截面圖且第6B圖展示光罩的橫截面圖。
第7A圖、第7B圖、第7C圖、第7D圖、第7E圖及第7F圖示意性地說明根據本揭露的一實施例的製造EUV光罩的方法。
第8A圖、第8B圖、第8C圖及第8D圖示意性地說明根據本揭露的一實施例的製造EUV光罩的方法。
第9A圖、第9B圖及第9C圖示意性地說明根據本揭露的一實施例的製造EUV光罩的方法。
第10A圖展示製造半導體元件的方法的流程圖,且第10B圖、第10C圖、第10D圖及第10E圖展示根據本揭露的實施例的製造半導體元件的方法的順序製造操作。
將理解,以下揭示內容提供用於實施本揭露的不同 特徵的許多不同實施例或實例。元件及配置的特定實施例或實例將在下文描述以簡化本揭露。當然,此等元件及配置僅為實例且不欲為限制性的。舉例而言,元件的尺寸不限於所揭示的範圍或值,但可視元件的處理條件及/或所要性質而定。此外,在隨後描述中的第一特性在第二特徵上方或上形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可介於第一特徵與第二特徵之間形成,使得第一及第二特徵不可直接接觸的實施例。為簡單及清楚起見,各種特徵可按不同標度任意地繪製。
此外,為了方便用於描述如諸圖中所圖示的一個元件或特徵與另一元件或特徵的關係的描述,在本文中可使用空間相對術語,諸如「在......下面」、「在......之下」、「下部」、「在......之上」、「上部」及類似術語。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的元件在使用或操作時的不同定向。元件可另外定向(旋轉90度或處於其他定向),且本文中所使用的空間相關描述符可類似地加以相應解釋。另外,術語「由......製成」可意味「包含」或「由......組成」。在本揭露中,片語「A、B及C中的一者」意味「A、B及/或C」(A、B、C、A及B、A及C、B及C或A、B及C),且不意味來自A的一個元件、來自B的一個元件及來自C的一個元件,除非另外描述。如關於一個實施例解釋的材料、組態、製程及/或尺寸可在其他實施例中使用且可省略對該些材料、組態、製程及/或尺寸的詳細描述。
本揭露的實施例提供一種製造一EUV光罩的方法。更確切地,本揭露提供用於防止或抑制EUV光罩的背面導電層上的損傷的技術。
EUV微影術(EUV lithography;EUVL)使用掃描器,該些掃描器使用在極紫外線(extreme ultraviolet;EUV)區域中、具有約1nm至約100nm(例如,13.5nm)的波長的光。遮罩係EUVL系統的關鍵元件。因為光學材料對EUV輻射不透明,所以EUV光罩係反射遮罩。電路圖案形成於設置於反射結構上方的吸收體層中。吸收體具有低EUV反射率,例如小於3%至5%。
本揭露提供一種具有低反射(高吸收)吸收體結構的EUV反射光罩。
第1A圖及第1B圖展示根據本揭露的一實施例的EUV反射空白光罩。第1D圖展示準備用於EUV微影術中的經圖案化的EUV反射光罩。第1A圖為平面圖(自頂部觀看)且第1B圖為沿著X方向的橫截面圖。
在一些實施例中,具有電路圖案的EUV光罩係由EUV空白光罩5形成。EUV空白光罩5包括基板10、矽及鉬的多個交替層的Mo/Si堆疊之多層15、封蓋層20、吸收體層25、覆蓋(或抗反射)層27及硬遮罩層30。此外,背面導電層45形成於基板10的背面上,如第1B圖所示。
在一些實施例中,基板10係由低熱膨脹材料形成。在一些實施例中,基板係低熱膨脹玻璃或石英,諸如熔融 矽石或熔融石英。在一些實施例中,低熱膨脹玻璃基板透射可見波長、靠近可見光譜的紅外線波長(近紅外線)的一部分及紫外線波長的一部分的光。在一些實施例中,低熱膨脹玻璃基板吸收極紫外線波長及靠近極紫外線的深紫外線波長。在一些實施例中,基板10的大小為152mm×152mm、具有約20mm的厚度。在其他實施例中,基板10的大小小於152mm×152mm且等於或大於148mm×148mm。在一些實施例中,基板10的形狀為正方形或矩形。
在一些實施例中,基板之上的功能層(Mo/Si堆疊之多層15、封蓋層20、吸收體層25、覆蓋層27及硬遮罩層30)具有比基板10小的寬度。在一些實施例中,該些功能層的大小在約138mm×138mm至142mm×142mm的範圍內。在一些實施例中,該些功能層的形狀為正方形或矩形。
在其他實施例中,吸收體層25、覆蓋層27及硬遮罩層30具有比基板10、Mo/Si堆疊之多層15及封蓋層20小、在約138mm×138mm至142mm×142mm範圍內的大小,如第1C圖所示。該些功能層中的一或多者的較小大小能夠藉由在藉由例如濺射形成各別層時使用具有在約138mm×138mm至142mm×142mm範圍內的開口的框形狀蓋形成。在其他實施例中,基板10之上的所有層具有與基板10相同的大小。
在一些實施例中,Mo/Si堆疊之多層15包括約 30個交替的矽層及鉬層至約60個交替的矽層及鉬層。在某些實施例中,形成約40個至約50個交替的矽層及鉬層。在一些實施例中,反射率比感興趣波長(例如,13.5nm)的約70%高。在一些實施例中,矽層及鉬層係藉由化學氣相沈積(chemical vapor deposition;CVD)、電漿增強化學氣相沉積(plasma-enhanced CVD;PECVD)、原子層沈積(atomic layer deposition;ALD)、物理氣相沈積(physical vapor deposition;PVD)(濺射)或任何其他合適的膜形成方法形成。矽及鉬的每一層厚約2nm至約10nm。在一些實施例中,矽層及鉬層具有近似相同的厚度。在其他實施例中,矽及鉬的層厚度不同。在一些實施例中,每一矽層的厚度為約4nm且每一鉬層的厚度為約3nm。在一些實施例中,多層15的最底層為矽層或鉬層。
在其他實施例中,多層15包括交替的鉬層及鈹層。在一些實施例中,儘管只要維持足夠反射率以用於將目標基板成像,允許任何數目個層,但多層15中的層的數目在約20至約100的範圍內。在一些實施例中,反射率比感興趣波長(例如,13.5nm)的約70%高。在一些實施例中,多層15包括約30個至約60個交替的鉬層及Be層。在本揭露的其他實施例中,多層15包括約40個至約50個交替的鉬層及Be層。
在一些實施例中,封蓋層20設置於Mo/Si之多層15上方以防止多層15的氧化。在一些實施例中,封蓋 層20係由元素釕(非Ru化合物)、釕合金(例如,RuNb、RuZr、RuZrN、RuRh、RuNbN、RuRhN、RuV或RuVN)或基於釕的氧化物(例如,RuO2、RuNbO、RiVO或RuON)製成,具有約2nm至約10nm的厚度。在某些實施例中,封蓋層20的厚度為約2nm至約5nm。在一些實施例中,封蓋層20具有3.5nm±10%的厚度。在一些實施例中,封蓋層20係藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積(例如,濺射)或任何其他合適的膜形成方法形成。在其他實施例中,將矽層用作為封蓋層20。在一些實施例中,一或多個層如下文陳述地設置於該封蓋層20與多層15之間。
吸收體層25設置於封蓋層20上方。在一些實施例中,吸收體層25為基於Ta的材料。在一些實施例中,吸收體層25係由TaN、TaO、TaB、TaBO或TaBN製成,具有約25nm至約100nm的厚度。在某些實施例中,吸收體層25厚度在約50nm至約75nm的範圍內。在其他實施例中,吸收體層25包括基於Cr的材料,諸如CrN、CrO及/或CrON。在一些實施例中,吸收體層25具有Cr、CrO或CrON的多層結構。在一些實施例中,吸收體層25係藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積或任何其他合適的膜形成方法形成。在一些實施例中,一或多個層如下文陳述地設置於封蓋層20與吸收體層25之間。
在一些實施例中,覆蓋或抗反射層27設置於吸收 體層25上方。在一些實施例中,覆蓋層27包括基於Ta的材料(諸如TaB、TaO、TaBO或TaBN)、矽、基於矽的化合物(例如,氧化矽、SiN、SiON或MoSi)、釕或基於釕的化合物(Ru或RuB)。在某些實施例中,覆蓋層27係由氧化鉭(Ta2O5或非理想配比(例如,缺氧)的氧化鉭)製成,且具有約2nm至約20nm的厚度。在其他實施例中,將具有在約2nm至約20nm範圍內的厚度的TaBO層用作覆蓋層。在一些實施例中,覆蓋層27的厚度為約3nm至約10nm。在一些實施例中,覆蓋層27係藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積或任何其他合適的膜形成方法形成。
在一些實施例中,硬遮罩層30設置於覆蓋層27上方。在一些實施例中,硬遮罩層30係由基於Cr的材料(諸如CrO、CrON或CrCON)製成。在其他實施例中,硬遮罩層30係由基於Ta的材料(諸如TaB、TaO、TaBO或TaBN)製成。在其他實施例中,硬遮罩層30係由矽、基於矽的化合物(例如,SiN或SiON)、釕或基於釕的化合物(Ru或RuB)製成。在一些實施例中,硬遮罩層30具有約4nm至約20nm的厚度。在一些實施例中,硬遮罩層30包括兩個或多個不同材料層。在一些實施例中,硬遮罩層30係藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積或任何其他合適的膜形成方法形成。
在一些實施例中,背面導電層45設置於基板10 的第二主表面上,該第二主表面與Mo/Si之多層15形成所在的基板10的第一主表面相反。在一些實施例中,背面導電層45係由TaB(硼化鉭)或其他基於Ta的導電材料製成。在一些實施例中,硼化鉭係晶體。晶狀硼化鉭包括TaB、Ta5B6、Ta3B4及TaB2。在其他實施例中,硼化鉭係多晶的或非晶的。在其他實施例中,背面導電層45係由基於Cr的導電材料(CrN或CrON)製成。在一些實施例中,背面導電層45的表面電阻等於或小於20Ω/□。在某些實施例中,背面導電層45的表面電阻等於或大於0.1Ω/□。在一些實施例中,背面導電層45的表面粗糙度Ra等於或小於0.25nm。在某些實施例中,背面導電層45的表面粗糙度Ra等於或大於0.05nm。此外,在一些實施例中,背面導電層45的平坦度等於或小於50nm(在EUV光罩內)。在一些實施例中,背面導電層45的平坦度大於1nm。在一些實施例中,背面導電層45的厚度在約50nm至約400nm的範圍內。在其他實施例中,背面導電層45具有約50nm至約100nm的厚度。在某些實施例中,厚度在約65nm至約75nm的範圍內。在一些實施例中,背面導電層45係藉由大氣化學氣相沉積(chemical vapor deposition;CVD)、低壓CVD、電漿增強CVD、雷射增強CVD、原子層沉積(atomic layer deposition;ALD)、分子束磊晶(molecular beam epitaxy;MBE)、包括熱沉積、脈衝雷射沉積、電子束蒸發、離子束輔助蒸發及濺射的物理氣相沉積或任 何其他合適的膜形成方法形成。在CVD的情況下,源氣體在一些實施例中包括TaCl5及BCl3
第2A圖及第2B圖至第6A圖及第6B圖展示空白遮罩(「A」圖)及經圖案化的光罩(「B」圖)的各種結構。
在一些實施例中,如第2A圖及第2B圖所示,中間層22形成於封蓋層20與吸收體層25之間。在一些實施例中,中間層22用於保護封蓋層20。在一些實施例中,中間層22包括基於Ta的化合物(諸如TaB、TaO、TaBO或TaBN)、矽、基於矽的化合物(例如,氧化矽、SiN、SiON或MoSi)、鉬、鈮、氧化鈮、釕或基於釕的化合物(RuO2或RuB)。在其他實施例中,中間層22包括金屬氧化物,諸如氧化鈮、氧化鉭、氧化釕及/或氧化鉬。在其他實施例中,中間層22包括元素金屬(非化合物),諸如Mo、Ta、Cr、Ni、Co及/或Ir。在某些實施例中,中間層22係由氧化鉭(Ta2O5或非理想配比(例如,缺氧)的氧化鉭)製成。在一些實施例中,中間層22與覆蓋層27由相同或類似的材料製成。在一些實施例中,中間層22具有比Ru(氫阻障層)低的氫擴散率及/或比Ru(防止碳或烴污染物的保護層)低的碳溶解度或反應性。
在一些實施例中,中間層22具有約0.5nm至約5nm的厚度,且在其他實施例中,該厚度在約1nm至約3nm的範圍內。在一些實施例中,中間層22係藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積或任何其他合適的膜形成方法形成。在一些實施例 中,中間層22在吸收體層的圖案化操作期間充當蝕刻終止層。
在其他實施例中,中間層22係能夠利用EUV輻射將形成於光罩上的碳氫化合物殘餘物催化成CO2及/或H2O的光催化層。因此,執行對遮罩表面的原位自清洗。在一些實施例中,在EUV掃描器系統中,將氧氣及氫氣注入至EUV腔室中以維持腔室壓力(例如,處於約2Pa)。腔室背景氣體可為氧的來源。除了光催化功能之外,光催化層經設計以對各種化學品及各種化學程序(諸如清洗及蝕刻)具有足夠的耐久性及抵抗性。在一些實例中,用於在後續製程中製造EUV反射遮罩的臭氧化水損害由Ru製成的封蓋層20且導致顯著的EUV反射率下降。另外,在Ru氧化之後,Ru氧化物容易藉由諸如Cl2或CF4氣體的蝕刻劑蝕刻除去。在一些實施例中,光催化層包括氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)及硫化鎘(CdS)中的一或多者。光催化層22的厚度在一些實施例中在約2nm至約10nm的範圍內,且在其他實施例中在約3nm至約7nm的範圍內。當厚度過薄時,光催化層不能充分地充當蝕刻終止層。當厚度過大時,光催化層可吸收EUV輻射。
如第2B圖所示,在電路圖案42形成之後,移除硬遮罩層30,且因此EUV光罩不包括硬遮罩層。
在一些實施例中,如第3A圖及第3B圖所示,無中間層形成於封蓋層20與吸收體層25之間,且多層15 的最上層為鉬層。換言之,多層15的最上矽層不接觸封蓋層20。如第3B圖所示,在電路圖案42形成之後,移除硬遮罩層30,且因此EUV光罩不包括硬遮罩層。
當Ru或Ru合金層與矽層直接接觸時,基於Ru的層可由於基於Ru的層與矽層之間的界面處的氫擴散及氫堆積而具有至矽層的弱黏附。在第3A圖及第3B圖所示的實施例中,在多層15由鉬層終止的情況下,有可能維持封蓋層20與多層15之間的充足接合或黏附強度。
在一些實施例中,如第4A圖及第4B圖所示,無中間層形成於封蓋層20與吸收體層25之間,且阻障層18形成於多層15與封蓋層20之間。換言之,即使多層15的最上層為矽層,該矽層亦不接觸封蓋層20。在一些實施例中,阻障層18包括矽化合物,諸如氧化矽、氮化矽、SiON及/或SiOCN。在其他實施例中,阻障層18包括金屬氧化物,諸如氧化鈮、氧化鉭、氧化釕及/或氧化鉬。在其他實施例中,阻障層18包括元素金屬(非化合物),諸如Be、Mo、Ta、Cr、Ni、Co及/或Ir。
在一些實施例中,阻障層18的厚度在約0.5nm至約5nm的範圍內,且在其他實施例中,該厚度在約1nm至約3nm的範圍內。在一些實施例中,阻障層18係藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、熱或化學氧化或任何其他合適的膜形成方法形成。如第4B圖所示,在電路圖案42形成之後,移除硬遮罩層30,且因此EUV光罩不包括硬遮罩層。在一些實 施例中,多層15的最上層如第4A圖及第4B圖所示為矽層,或鉬層。
在一些實施例中,如第5A圖及第5B圖所示,中間層22形成於封蓋層20與吸收體層25之間,且多層15的最上層為鉬層。換言之,多層15的最上矽層不接觸封蓋層20。如第5B圖所示,在電路圖案42形成之後,移除硬遮罩層30,且因此EUV光罩不包括硬遮罩層。
在一些實施例中,如第6A圖及第6B圖所示,中間層22形成於封蓋層20與吸收體層25之間,且阻障層18形成於多層15與封蓋層20之間。換言之,即使多層15的最上層為矽層,該矽層亦不接觸封蓋層20。如第6B圖所示,在電路圖案42形成之後,移除硬遮罩層30,且因此EUV光罩不包括硬遮罩層。在一些實施例中,多層15的最上層如第6A圖及第6B圖所示為矽層,或鉬層。
第7A圖至第7F圖及第8A圖至第8D圖示意性地說明製造供極紫外線微影術(extreme ultraviolet lithography;EUVL)使用的EUV光罩的方法。據瞭解,對於該方法的額外實施例,額外操作可在藉由第7A圖至第7F圖展示的程序之前、期間及之後提供,且下文描述的操作中的一些可替換或消除。操作/程序的次序可為可互換的。第7A圖至第7F圖及第8A圖至第8D圖係針對如第2A圖、第4A圖、第5A圖及第6A圖所示的具有中間層22的空白遮罩。
在EUV光罩的製造中,在EUV空白光罩的硬遮 罩層30上方形成第一光阻劑層35,如第7A圖所示,且使光阻劑層35選擇性地曝光於光化輻射EB,如第7B圖所示。在一些實施例中,在第一光阻劑層35形成之前,EUV空白光罩經受檢查。顯影選擇性曝光的第一光阻劑層35以在第一光阻劑層35中形成圖案40,如第7C圖所示。在一些實施例中,光化輻射EB為電子束或離子束。在一些實施例中,圖案40對應於半導體元件特徵的圖案,該圖案將使用EUV光罩在後續操作中形成。
接下來,將第一光阻劑層35中的圖案40延伸至硬遮罩層30中,從而形成在硬遮罩層30中、暴露覆蓋層27的部分的圖案41,如第7D圖所示。延伸至硬遮罩層30中的圖案41係藉由蝕刻形成,在一些實施例中,蝕刻使用對覆蓋層27具選擇性的合適的濕或乾蝕刻劑。在硬遮罩層30中的圖案41形成之後,藉由光阻劑剝除器移除第一光阻劑層35以暴露硬遮罩層30的上部表面,如第7E圖所示。
接下來,使硬遮罩層30中的圖案41延伸至覆蓋層27及吸收體層25中,由此形成在覆蓋層27及吸收體層25中、暴露中間層22的部分的圖案42(參見第8A圖),如第7F圖所示,接著移除硬遮罩層30,如第8A圖所示。延伸至覆蓋層27及吸收體層25中的圖案42係藉由蝕刻形成,在一些實施例中,蝕刻使用對中間層22具選擇性的合適的濕或乾蝕刻劑。在一些實施例中,使用電漿乾式蝕刻。在一些實施例中,當中間層22與硬遮罩層30由相同 材料或類似材料製成時,或當中間層22及硬遮罩層30具有類似於吸收體層25的蝕刻的蝕刻抗性時,蝕刻實質上終止於中間層22。在一些實施例中,藉由使用經圖案化的硬遮罩層30來圖案化覆蓋層27,接著在具有或不具有硬遮罩層30(即覆蓋層充當硬遮罩)的情況下,藉由使用經圖案化的覆蓋層27作為蝕刻遮罩來圖案化吸收體層25。
如第8B圖所示,在吸收體層25上方形成第二光阻劑層50,從而填充覆蓋層27及吸收體層25中的圖案42。使第二光阻劑層50選擇性地曝光於諸如電子束、離子束或紫外線輻射的光化輻射。顯影經選擇性曝光的第二光阻劑層50以形成第二光阻劑層50中的圖案55,如第8B圖所示。圖案55對應於圍繞電路圖案的黑色邊界。黑色邊界係藉由在電路圖案區域周圍的區域中移除EUV光罩上的所有多層形成的框形狀區域。形成黑色邊界以防止當將EUV光罩印刷在晶圓上時的鄰近場的暴露。在一些實施例中,黑色邊界的寬度在約1mm至約5mm的範圍內。
接下來,使第二光阻劑層50中的圖案55延伸至覆蓋層27、吸收體層25、封蓋層20及Mo/Si之多層15中,從而形成在吸收體層25、封蓋層20及Mo/Si之多層15中、暴露基板10的部分的圖案57(參見第8D圖),如第8C圖所示。圖案57係藉由蝕刻形成,在一些實施例中,蝕刻使用對蝕刻的層中的每一者具選擇性的一或多種合適的濕或乾蝕刻劑。在一些實施例中,使用電漿乾式蝕刻。
接著,藉由合適的光阻劑剝除器移除第二光阻劑層50以暴露吸收體層25的上部表面,如第8D圖所示。在本揭露的一些實施例中,覆蓋層27、吸收體層25、封蓋層20及Mo/Si之多層15中的黑色邊界圖案57定義光罩的黑色邊界。
第9A圖、第9B圖及第9C圖根據本揭露的另一實施例展示吸收體層的多層結構的橫截面圖。據瞭解,對於該方法的額外實施例,額外操作可在藉由第9A圖、第9B圖及第9C圖展示的程序之前、期間及之後提供,且下文描述的操作中的一些可替換或消除。操作/程序的次序可為可互換的。如關於先前實施例所解釋的材料、組態、程序及/或尺寸可在以下實施例中使用,且對該些材料、組態、程序及/或尺寸的詳細描述可省略。第9A圖、第9B圖及第9C圖的實施例係針對如第2A圖及第3A圖所示的空白遮罩,其中無中間層22形成。
第9A圖展示類似於第7F圖的在圖案化硬遮罩層30之後的結構。接著,覆蓋層27及吸收體層25係藉由使用經圖案化的硬遮罩層30來圖案化(蝕刻),如第9B圖所示。在一些實施例中,蝕刻實質上終止於封蓋層20。接著,如第9C圖所示,硬遮罩層30經移除。
第10A圖展示製造半導體元件的方法的流程圖,且第10B圖、第10C圖、第10D圖及第10E圖展示根據本揭露的實施例的製造半導體元件的方法的順序製造操作。提供半導體基板或其他合適的基板,該基板將被圖案 化以在其上形成積體電路。在一些實施例中,半導體基板包括矽。替代或另外地,半導體基板包括鍺、矽鍺或其他合適的半導體材料,諸如第III族至第V族半導體材料。在第10A圖的S101,在半導體基板上方形成待圖案化的一目標層。在某些實施例中,目標層為半導體基板。在一些實施例中,目標層包括:導電層,諸如金屬層或多晶矽層;介電層,諸如氧化矽、氮化矽、SiON、SiOC、SiOCN、SiCN、氧化鉿或氧化鋁;或半導體層,諸如磊晶形成的半導體層。在一些實施例中,目標層係形成於諸如隔離結構、電晶體或接線的下伏結構上方。在第10A圖的S102,在該目標層上方形成一光阻劑層,如第10B圖所示。該光阻劑層在後續光微影術曝光程序期間對來自曝光源的輻射敏感。在當前實施例中,該光阻劑層對在光微影術曝光程序中使用的EUV光敏感。該光阻劑層可藉由旋塗或其他合適的技術形成於目標層上方。經塗佈的光阻劑層可經進一步烘烤以驅逐光阻劑層中的溶劑。在第10A圖的S103,使用如上文陳述的EUV反射遮罩來圖案化該光阻劑層,如第10B圖所示。光阻劑層的圖案化包括使用EUV遮罩藉由EUV曝光系統來執行光微影術曝光程序。在曝光程序期間,在EUV遮罩上定義的積體電路(integrated circuit;IC)設計圖案成像至光阻劑層以在光阻劑層上形成潛伏圖案。光阻劑層的圖案化進一步包括顯影暴露的光阻劑層以形成具有一或多個開口的圖案化光阻劑層。在光阻劑層為正調性光阻劑層的一個實施例中,在顯影程序期間移除光 阻劑層的暴露部分。光阻劑層的圖案化可進一步包括其他程序步驟,諸如不同階段的各種烘烤步驟。舉例而言,曝光後烘烤(post-exposure-baking;PEB)程序可在光微影術曝光程序之後且在顯影程序之前實施。
在第10A圖的S104,利用經圖案化的光阻劑層作為蝕刻遮罩來圖案化該目標層,如第10D圖所示。在一些實施例中,圖案化目標層包括使用經圖案化的光阻劑層作為蝕刻遮罩將蝕刻製程應用於目標層。蝕刻在經圖案化的光阻劑層的開口內暴露的目標層的部分,同時保護剩餘部分不被蝕刻。此外,可藉由濕剝離或電漿灰化來移除經圖案化的光阻劑層,如第10E圖所示。
在本揭露中,在一中間層(保護層)設置於一封蓋層上方的情況下,有可能在吸收體層的乾式蝕刻期間有效地保護該封蓋層。此外,中間層的使用能夠減少該封蓋層上的碳或烴污染物。此外,在本揭露的一些實施例中,一阻障層設置於該封蓋層下,該阻障層與該中間層一起防止EUV遮罩中的氫擴散。此外,在本揭露的一些實施例中,該多層由鉬層終止,此防止該封蓋層自該多層剝離。
將理解,並非所有優點需要在本文中論述,無特定優點係所有實施例或實例所需要的,且其他實施例或實例可提供不同優點。
根據本申請案的一個態樣,一種反射遮罩包括一基板、設置於該基板上的一反射性多層、設置於該反射性多層上的一封蓋層、設置於該封蓋層上的一中間層、設置於 該中間層上的一吸收體層及設置於該吸收體層上的一覆蓋層。該中間層包括具有比該封蓋層的一材料低的一氫擴散率的一材料。在先前及以下實施例中的一或多者中,該中間層包括氧化鉭。在先前及以下實施例中的一或多者中,該中間層的一厚度在0.5nm至3nm的一範圍內。在先前及以下實施例中的一或多者中,該覆蓋層與該中間層由相同材料製成。在先前及以下實施例中的一或多者中,該中間層及該覆蓋層包括氧化鉭。在先前及以下實施例中的一或多者中,該反射遮罩進一步包括一開口圖案,該開口圖案形成於該覆蓋層及該吸收體層中,且該中間層在該開口的一底部處暴露。在先前及以下實施例中的一或多者中,該反射性多層包括交替堆疊的矽層及鉬層,且該反射性多層的一矽層接觸該封蓋層。在先前及以下實施例中的一或多者中,該反射性多層包括交替堆疊的矽層及鉬層,且該反射性多層的一鉬層接觸該封蓋層。
根據本揭露的另一態樣,一種反射遮罩包括一基板、設置於該基板上的一反射性多層、設置於該反射性多層上的一阻障層、設置於該阻障層上的一封蓋層、設置於該封蓋層上的一吸收體層及設置於該吸收體層上的一覆蓋層。該阻障層包括SiON或SiN中的一或多者。在先前及以下實施例中的一或多者中,該阻障層的一厚度在0.5nm至5nm的一範圍內。在先前及以下實施例中的一或多者中,該反射遮罩進一步包括處於該封蓋層與該吸收體層之間的一中間層。在先前及以下實施例中的一或多者中,該中間層 包括氧化鉭、氧化鈮或氧化釕中的至少一者。在先前及以下實施例中的一或多者中,該中間層包括氧化鈦(TiO2)、氧化錫(SnO)、氧化鋅(ZnO)或硫化鎘(CdS)中的至少一者。在先前及以下實施例中的一或多者中,該反射性多層包括交替堆疊的矽層及鉬層,且該反射性多層的一矽層接觸該阻障層。在先前及以下實施例中的一或多者中,該反射性多層包括交替堆疊的矽層及鉬層,且該反射性多層的一鉬層接觸該阻障層。
根據本揭露的另一態樣,一種反射遮罩包括一基板、設置於該基板上的一反射性多層、設置於該反射性多層上的一阻障層、設置於該阻障層上的一封蓋層、設置於該封蓋層上的一吸收體層及設置於該吸收體層上的一覆蓋層。該反射性多層包括交替堆疊的矽層及鉬層,且該反射性多層的一最上矽層不接觸該封蓋層。在先前及以下實施例中的一或多者中,該覆蓋層由氧化鉭製成。在先前及以下實施例中的一或多者中,一矽化合物層插入在該多層與該封蓋層之間。在先前及以下實施例中的一或多者中,該反射性多層的一最上鉬層不接觸該封蓋層。在先前及以下實施例中的一或多者中,該封蓋層由以下各者中的至少一者製成:RuNb、RuZr、RuZrN、RuRh、RuNbN、RuRhN、RuV或RuVN。
根據本揭露的另一態樣,在一種製造一反射遮罩的方法中,在一空白遮罩上方形成一光阻劑層。該空白遮罩包括一基板、在該基板上的一反射性多層、在該反射性多 層上的一封蓋層、在該封蓋層上的一中間層、在該中間層上的一吸收體層、在該吸收體層上的一覆蓋層及在該覆蓋層上的一硬遮罩層。圖案化該光阻劑層,藉由使用該經圖案化的光阻劑層來圖案化該硬遮罩層,藉由使用該經圖案化的硬遮罩層來圖案化該覆蓋層及該吸收體層以形成一開口,且移除該硬遮罩層。該中間層的一部分在該硬遮罩層移除之後在該開口中暴露。在先前及以下實施例中的一或多者中,該覆蓋層與該中間層由相同材料製成。在先前及以下實施例中的一或多者中,該中間層及該覆蓋層包括氧化鉭。在先前及以下實施例中的一或多者中,該硬遮罩層包括CrO或CrON。在先前及以下實施例中的一或多者中,該吸收體層由TaBN製成。在先前及以下實施例中的一或多者中,該封蓋層由Ru製成。在先前及以下實施例中的一或多者中,該中間層的一厚度在0.5nm至3nm的一範圍內。
根據本揭露的另一態樣,在一種製造一反射遮罩的方法中,在一空白遮罩上方形成一光阻劑層。該空白遮罩包括一基板、在該基板上的一反射性多層、在該反射性多層上的一封蓋層、在該封蓋層上的一中間層、在該中間層上的一吸收體層、在該吸收體層上的一覆蓋層及在該覆蓋層上的一硬遮罩層。圖案化該光阻劑層,藉由使用該經圖案化的光阻劑層來圖案化該硬遮罩層,藉由使用該經圖案化的硬遮罩層來圖案化該覆蓋層及該吸收體層以形成一開口,且移除該硬遮罩層。該反射性多層包括交替堆疊的矽 層及鉬層,且該反射性多層的一最上矽層不接觸該封蓋層(與封蓋層分開)。在先前及以下實施例中的一或多者中,該中間層的一部分在該硬遮罩層移除之後在該開口中暴露。在先前及以下實施例中的一或多者中,該中間層及該覆蓋層中的至少一者包括氧化鉭。在先前及以下實施例中的一或多者中,在該多層與該封蓋層之間插入一矽化合物層。在先前及以下實施例中的一或多者中,該矽化合物層包括SiON或SiN中的一或多者。在先前及以下實施例中的一或多者中,該矽化合物層的一厚度在0.5nm至5nm的一範圍內。在先前及以下實施例中的一或多者中,該反射性多層的一最上鉬層不接觸該封蓋層。
根據本揭露的另一態樣,在一種製造一反射遮罩的方法中,在一空白遮罩上方形成一光阻劑層。該空白遮罩包括一基板、在該基板上的一反射性多層、在該反射性多層上的一封蓋層、在該封蓋層上的一吸收體層、在該吸收體層上的一覆蓋層及在該覆蓋層上的一硬遮罩層。圖案化該光阻劑層,藉由使用該經圖案化的光阻劑層來圖案化該硬遮罩層,藉由使用該經圖案化的硬遮罩層來圖案化該覆蓋層及該吸收體層以形成一開口,且移除該硬遮罩層。該反射性多層包括交替堆疊的矽層及鉬層,該反射性多層的一最上矽層不接觸該封蓋層(與封蓋層分開),且該封蓋層的一部分在該硬遮罩層移除之後在該開口中暴露。在先前及以下實施例中的一或多者中,該覆蓋層包括氧化鉭。在先前及以下實施例中的一或多者中,在該多層與該封蓋層 之間插入一阻障層。在先前及以下實施例中的一或多者中,該阻障層包括SiON或SiN中的一或多者。在先前及以下實施例中的一或多者中,該阻障層的一厚度在0.5nm至5nm的一範圍內。在先前及以下實施例中的一或多者中,該反射性多層的一最上層為一鉬層。
先前內容概述幾個實施例或實例的特徵,使得熟習此項技術者可更好地理解本揭露的態樣。熟習此項技術者應瞭解,該些技術者可容易地使用本揭露作為用於設計或修改用於實現本文中介紹的實施例或實例的相同目的及/或達成本文中介紹的實施例或實例的相同優點的其他程序及結構的基礎。熟習此項技術者亦應認識到,此等等效構造不背離本揭露的精神及範疇,且在不背離本揭露的精神及範疇的情況下,該些技術者可在此作出各種改變、取代及更改。
10:基板
15:多層
20:封蓋層
22:中間層/光催化層
25:吸收體層
27:覆蓋(或抗反射)層
42:圖案
45:背面導電層

Claims (10)

  1. 一種反射遮罩,包含:一基板;一反射性多層,該反射性多層設置於該基板上;一封蓋層,該封蓋層設置於該反射性多層上方;一中間層,該中間層設置於該封蓋層上方;一吸收體層,該吸收體層設置於該中間層上方;及一覆蓋層,該覆蓋層設置於該吸收體層上方,其中該中間層包括具有比該封蓋層的一材料低的一氫擴散率的一材料。
  2. 如請求項1所述之反射遮罩,其中該中間層包括氧化鉭。
  3. 如請求項1所述之反射遮罩,其中該中間層的一厚度在0.5nm至3nm的一範圍內。
  4. 如請求項1所述之反射遮罩,進一步包含一開口圖案,該開口圖案形成於該覆蓋層及該吸收體層中,其中該中間層在該開口圖案的一底部處暴露。
  5. 一種製造一反射遮罩的方法,包含:在一空白遮罩上方形成一光阻劑層,該空白遮罩包括一基板、在該基板上的一反射性多層、在該反射性多層上的 一封蓋層、在該封蓋層上的一中間層、在該中間層上的一吸收體層、在該吸收體層上的一覆蓋層及在該覆蓋層上的一硬遮罩層;圖案化該光阻劑層;藉由使用該經圖案化的光阻劑層來圖案化該硬遮罩層;藉由使用該經圖案化的硬遮罩層來圖案化該覆蓋層及該吸收體層以形成一開口;及移除該硬遮罩層,其中該中間層的一部分在該硬遮罩層移除之後在該開口中暴露。
  6. 如請求項5所述之方法,其中該覆蓋層與該中間層由相同材料製成。
  7. 如請求項6所述之方法,其中該中間層及該覆蓋層包括氧化鉭。
  8. 一種製造一反射遮罩的方法,包含:在一空白遮罩上方形成一光阻劑層,該空白遮罩包括一基板、設置於該基板上方的一反射性多層、設置於該反射性多層上方的一封蓋層、設置於該封蓋層上方的一中間層、設置於該中間層上方的一吸收體層、設置於該吸收體層上方的一覆蓋層及設置於該覆蓋層上方的一硬遮罩層;圖案化該光阻劑層; 藉由使用該經圖案化的光阻劑層作為一遮罩來圖案化該硬遮罩層;藉由使用該經圖案化的硬遮罩層作為一遮罩來圖案化該覆蓋層及該吸收體層以形成一開口;及移除該硬遮罩層,其中:該反射性多層包括交替堆疊的矽層及鉬層,且該反射性多層的一最上矽層與該封蓋層分開。
  9. 如請求項8所述之方法,其中該中間層的一部分在該硬遮罩層移除之後在該開口中暴露。
  10. 如請求項8所述之方法,其中在該反射性多層與該封蓋層之間插入一矽化合物層。
TW110103318A 2020-05-26 2021-01-28 反射遮罩及其製造方法 TWI785481B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063030035P 2020-05-26 2020-05-26
US63/030,035 2020-05-26
US17/109,833 2020-12-02
US17/109,833 US11506969B2 (en) 2020-05-26 2020-12-02 EUV photo masks and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW202144900A TW202144900A (zh) 2021-12-01
TWI785481B true TWI785481B (zh) 2022-12-01

Family

ID=77180785

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103318A TWI785481B (zh) 2020-05-26 2021-01-28 反射遮罩及其製造方法

Country Status (3)

Country Link
US (1) US20230085685A1 (zh)
CN (1) CN113253563A (zh)
TW (1) TWI785481B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160916A1 (en) * 2006-01-12 2007-07-12 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US9869939B2 (en) * 2013-03-15 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
TW202000954A (zh) * 2018-06-13 2020-01-01 日商Agc股份有限公司 反射型光罩基底、反射型光罩及反射型光罩基底之製造方法
TW202008073A (zh) * 2018-07-19 2020-02-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202016643A (zh) * 2018-10-25 2020-05-01 台灣積體電路製造股份有限公司 反射光罩

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070160916A1 (en) * 2006-01-12 2007-07-12 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US9869939B2 (en) * 2013-03-15 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
TW202000954A (zh) * 2018-06-13 2020-01-01 日商Agc股份有限公司 反射型光罩基底、反射型光罩及反射型光罩基底之製造方法
TW202008073A (zh) * 2018-07-19 2020-02-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202016643A (zh) * 2018-10-25 2020-05-01 台灣積體電路製造股份有限公司 反射光罩

Also Published As

Publication number Publication date
CN113253563A (zh) 2021-08-13
US20230085685A1 (en) 2023-03-23
TW202144900A (zh) 2021-12-01

Similar Documents

Publication Publication Date Title
US11886109B2 (en) EUV photo masks and manufacturing method thereof
US11592737B2 (en) EUV photo masks and manufacturing method thereof
US11726399B2 (en) EUV photo masks and manufacturing method thereof
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
TWI710853B (zh) 用於製造光罩的方法及用於蝕刻光罩靶層的蝕刻設備
US11829062B2 (en) EUV photo masks and manufacturing method thereof
TWI785481B (zh) 反射遮罩及其製造方法
US11506969B2 (en) EUV photo masks and manufacturing method thereof
US20230375910A1 (en) Euv photo masks and manufacturing method thereof
TWI790020B (zh) 反射型罩幕及其製造方法
US20230205072A1 (en) Euv photo masks and manufacturing method thereof
TWI838405B (zh) 用於極紫外線微影術之光罩及其製造方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
US11119398B2 (en) EUV photo masks
CN117250822A (zh) Euv光掩模及其制造方法