TW201929087A - 微影光罩、微影光罩之製造方法以及進行微影製程之方法 - Google Patents

微影光罩、微影光罩之製造方法以及進行微影製程之方法 Download PDF

Info

Publication number
TW201929087A
TW201929087A TW107122080A TW107122080A TW201929087A TW 201929087 A TW201929087 A TW 201929087A TW 107122080 A TW107122080 A TW 107122080A TW 107122080 A TW107122080 A TW 107122080A TW 201929087 A TW201929087 A TW 201929087A
Authority
TW
Taiwan
Prior art keywords
region
layer
reflective
lithographic mask
item
Prior art date
Application number
TW107122080A
Other languages
English (en)
Other versions
TWI713114B (zh
Inventor
林進祥
陳建誠
李信昌
陳嘉仁
許倍誠
蘇益辰
李環陵
沈倉輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201929087A publication Critical patent/TW201929087A/zh
Application granted granted Critical
Publication of TWI713114B publication Critical patent/TWI713114B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

微影光罩包括基板、設置於基板第一側之上之反射結構以及設置於反射結構之上之圖案化吸收層。微影光罩包括第一區域以及於上視圖中圍繞第一區域之第二區域。圖案化吸收層位於第一區域中。實質上不反射之材料位於第二區域中。經由形成反射結構於基板之上、形成吸收層於反射結構之上、定義微影光罩之第一區域以及定義微影光罩之第二區域,以形成微影光罩。定義第一區域之步驟包括將吸收層圖案化。所定義之第二區域於上視圖中圍繞第一區域。定義第二區域之步驟包括形成實質上不反射之材料於第二區域中。

Description

微影光罩、微影光罩之製造方法以及進行微影製程之方法
本發明實施例關於一種半導體製造技術,且特別關於一種微影光罩及其製造與使用方法。
半導體積體電路(IC)工業經歷了快速的成長。積體電路之材料及設計上之技術進展造就了積體電路之多個世代。每一世代具有比上一世代更小且更複雜的電路。然而,此些進展增加了積體電路之製程及製造上的複雜度,且為了實現此些進展,積體電路之製程及製造亦需要有相對的發展。在積體電路演進的過程,功能密度(亦即,單位晶片面積之互連裝置的數量)普遍地增加,然而幾何尺寸(亦即,使用一生產製程可製造之最小元件)則降低。
由於半導體裝置尺寸持續地縮小(例如:小於20奈米節點),使得傳統之微影技術具有光學上的限制,這可能會造成解析度上的問題並可能無法達到所期望之微影效能(lithography performance)。相較之下,極紫外光微影(extreme ultraviolet(EUV)lithography)可達到非常小的裝置尺寸。然 而,現有之極紫外光微影可能仍具有缺點,例如:與晶圓印刷中(wafer printing)之場域對場域干擾(field-to-field interferences)有關之缺點。
因此,雖然現有之微影系統及方法大抵上足以達到所預期的目的,但它們並非在各方面皆令人滿意。
本發明實施例包括一種微影光罩。微影光罩包括基板、設置於基板第一側之上之反射結構、設置於反射結構之上之圖案化吸收層。微影光罩包括第一區域以及於上視圖中圍繞第一區域之第二區域。圖案化吸收層位於第一區域中,實質上不反射之材料位於第二區域中。
本發明實施例亦包括一種微影光罩之製造方法。微影光罩之製造方法包括形成反射結構於基板之上、形成吸收層於反射結構之上、定義微影光罩之第一區域以及定義微影光罩之第二區域。定義第一區域之步驟包括將吸收層圖案化。所定義之第二區域於上視圖中圍繞第一區域。定義第二區域之步驟包括形成實質上不反射之材料於第二區域中。
本發明實施例亦包括一種進行微影製程之方法。進行微影製程之方法包括接收微影光罩以及使用微影光罩進行極紫外光微影製程。微影光罩包括第一區域以及於上視圖中圍繞第一區域之第二區域。第一區域包括位於反射多膜層結構(reflective multi-layer structure)之上之圖案化吸收層。第二區域包括實質上不反射之材料。
10‧‧‧極紫外光微影系統
12‧‧‧輻射源
14‧‧‧照明裝置
16‧‧‧光罩台
18‧‧‧光罩
20‧‧‧投影光學箱
22‧‧‧瞳孔相位調制器
24‧‧‧投影瞳孔平面
26‧‧‧目標
28‧‧‧基板台
30‧‧‧基板
32‧‧‧導電層
34‧‧‧多膜層結構
36‧‧‧蓋層
38‧‧‧緩衝層
40‧‧‧吸收層
40A‧‧‧圖案化吸收層
42‧‧‧基板之一側
44‧‧‧基板之一側
60‧‧‧光阻層
60A‧‧‧圖案化光阻層
71、72、73‧‧‧區域
80‧‧‧溝槽
90‧‧‧圖案化光阻層
100‧‧‧蝕刻製程
120‧‧‧電漿製程
130‧‧‧鈍化層
135‧‧‧厚度
150‧‧‧離子佈植製程
200‧‧‧聚焦離子束蝕刻製程
230‧‧‧雷射束
250‧‧‧不反射之元件
255‧‧‧橫向尺寸
300‧‧‧橋接部
600‧‧‧方法
610、620、630、640‧‧‧步驟
700‧‧‧方法
710、720‧‧‧步驟
以下將配合所附圖式詳述本發明實施例之各層面。應注意的是,依據在業界的標準做法,各種特徵部件(feature)並未按照比例繪製且僅用以說明例示。事實上,為了達到清楚說明之目的,可能任意地放大或縮小各特徵部件的尺寸。
第1圖為根據本發明一些實施例建構之微影系統之示意圖。
第2-26圖根據本發明實施例繪示出微影光罩於各製造階段之剖面圖。
第27-29圖根據本發明不同之實施例繪示出微影光罩之上視圖。
第30圖為流程圖,其根據本發明一些實施例繪示出微影光罩之製造方法。
第31圖為流程圖,其根據本發明一些實施例繪示出使用微影光罩進行半導體製造之方法。
以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵部件。以下描述具體的元件及其排列以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵部件形成於第二特徵部件之上,其包括第一特徵部件與第二特徵部件是直接接觸的實施例,另外也包括於第一特徵部件與第二特徵部件之間另外有其他特徵部件的實施例,亦即,第一特徵部件與第二特徵部件並非直接接觸。此外,本發明實施例可能 重複各種示例中的附圖標記和/或字母。上述重複是為了達到簡明和清楚的目的,而非用來限定所討論的各種實施例和/或配置之間的關係。
此外,其中可能用到與空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵部件與另一個(些)元件或特徵部件之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
由於可達成較小之半導體裝置尺寸,極紫外光微影被廣泛地使用。然而,傳統之進行極紫外光微影之系統以及方法可能具有缺點。舉例而言,傳統之極紫外光系統使用被配置來進行極紫外光微影之微影光罩。極紫外光微影光罩包括可被稱為“主要場域(main field)”之區域,其具有於微影步驟中被用來定義各種積體電路特徵部件之圖案。然而,與傳統之極紫外光微影相關的一個問題為晶圓印刷中之場域對場域干擾。舉例而言,靠近“主要場域”區域之邊緣的範圍對於極紫外光具有不為零的(non-zero)反射率,這將可能於所形成之積體電路晶粒(IC die)及/或與其相鄰之積體電路晶粒之邊緣造成臨界尺寸(critical dimension,CD)之問題。因此,半導體裝置之效能將降低。
為了減少或消除場域對場域干擾之問題,本發明 實施例形成圍繞“主要場域”區域之邊界區域,其中邊界區域為不反射的(non-reflective),例如:對於極紫外光光譜範圍中之光是不反射的。此邊界區域亦可被稱為“黑邊界(black border)”區域。於後文將配合第1-30圖更詳細地說明本發明實施例之各層面。首先,後文將配合第1圖說明極紫外光微影系統。接著,將配合第2-30圖說明根據本發明實施例之極紫外光微影光罩的詳細內容。
第1圖為根據一些實施例所建構之極紫外光微影系統10之示意圖。極紫外光微影系統10亦可統稱為被配置來以相應之輻射源與曝光模式進行微影曝光製程之掃描曝光機台(scanner)。極紫外光微影系統10被設計來將光阻層暴露於極紫外光或極紫外輻射中。光阻層為對極紫外光敏感之材料。極紫外光微影系統10使用輻射源12產生極紫外光(例如:波長約為1nm至100nm之極紫外光)。在一特定的例子中,輻射源12產生具有以約13.5nm為中心之波長的極紫外光。因此,輻射源12亦可被稱為極紫外輻射源12。
微影系統10亦使用照明裝置(illuminator)14。在各實施例中,照明裝置14包括各種光學組件(例如:單一透鏡或具有複數個透鏡之透鏡系統(波帶片(zone plates))或者替代性之(針對極紫外光微影系統之)反射光學器件(例如:單一反射鏡或具有複數個反射鏡之反射鏡系統),以將光從輻射源12引導至光罩台(mask stage)16上,尤其是引導至固定在光罩台16上之光罩(或可稱為微影光罩)18。在本實施例中,輻射源12產生極紫外光之波長範圍中的光,照明裝置14使用反射光學器件。在 一些實施例中,照明裝置14包括偶極照明組件(dipole illumination component)。
在一些實施例中,可操作照明裝置14來配置反射鏡,以將適當之照明提供至光罩18。舉例而言,可切換照明裝置14之反射鏡以將極紫外光反射至不同的照明位置。在一些實施例中,照明裝置14之前的階段可額外包括其他可切換之反射鏡,其可被控制來與照明裝置14之反射鏡一起將極紫外光引導至不同之照明位置。在一些實施例中,照明裝置14被配置來將正軸照明(on-axis illumination,ONI)提供至光罩18。在一例子中,使用具有最多為0.3之部分同調性(partial coherence)σ的盤形(disk)照明裝置14。在一些其他的實施例中,照明裝置14被配置來將離軸照明(off-axis illumination,OAI)提供至光罩18。在一例子中,照明裝置14為偶極照明裝置。在一些實施例中,偶極照明裝置之部分同調性σ最多為0.3。
微影系統10亦包括被配置來固定光罩18之光罩台16。在一些實施例中,光罩台16包括靜電吸盤(electrostatic chuck,e-chuck)以固定光罩18。這是因為氣體分子吸收極紫外光,用於極紫外光微影圖案化的微影系統被維持在真空環境中以避免極紫外光之強度損失。在本發明實施例中,光罩(mask 或photomask)以及光標(reticle)可被交替使用來指涉相同的物件。
在本實施例中,微影系統10係為極紫外光微影系統,而光罩18為反射光罩。以下將提供做為說明所用之一例示性之光罩18之結構。光罩18包括具有適當材料(例如:低熱膨脹 材料(low thermal expansion material,LTEM)或熔融石英(fused quartz))之基板。在各例子中,低熱膨脹材料包括氧化鈦摻雜氧化矽(TiO2 doped SiO2)或者其他適當之具有低熱膨脹之材料。在一些實施例中,低熱膨脹材料包括重量百分比為5%至20%之TiO2且具有低於約1.0 x 10-6/℃之熱膨脹係數。舉例而言,在一些實施例中,低熱膨脹材料之氧化鈦摻雜氧化矽材料具有一熱膨脹係數,使得對於每一個1攝氏度之溫度變化,其變化小於60ppb(parts-per-billion)。當然,亦可使用其他適當之熱膨脹係數相同或低於氧化鈦摻雜氧化矽之熱膨脹係數的材料。
光罩18亦包括沉積於基板上之反射多膜層(reflective ML)。多膜層包括複數個膜層對(film pairs),例如:Mo/Si膜層對(例如:於每一膜層對中具有位於一層矽之上或之下的一層鉬)。作為替代方案,多膜層可包括Mo/Be膜層對或者其他適當之可被配置來高度反射極紫外光之材料。
光罩18可更包括蓋層,例如:設置於多膜層上以提供保護之Ru。光罩18更包括沉積於多膜層上之吸收層。吸收層被圖案化以定義積體電路之膜層。作為替代方案,另一反射層可被沉積於多膜層之上並被圖案化以定義積體電路之膜層,藉此形成極紫外光相位移光罩(phase shift mask)。
微影系統10亦包括投影光學模組(或投影光學箱(projection optics box))20,其被用來將光罩18之圖案成像在固定於微影系統10之基板台28上之目標26(例如:半導體基板)上。於各實施例中,投影光學箱20具有折射光學器件(例如:用 於紫外光微影系統)或者替代性之反射光學器件(例如:用於極紫外光微影系統)。從光罩18被引導來的光(其繞射至不同的繞射階次並且攜帶定義於光罩上之圖案之影像)被投影光學箱20收集。投影光學箱20可包括小於1之放大倍數(藉此,目標(例如:後文所述之目標26)上之“影像”之尺寸小於其在光罩上所對應之”物件”之尺寸)。照明裝置14與投影光學箱20共同被稱為微影系統10之光學模組。
微影系統10亦包括瞳孔相位調制器(pupil phase modulator)22以調制從光罩18導來的光之光相位,使得光於投影瞳孔平面(projection pupil plane)24上具有相位分布(phase distribution)。於光學模組中具有一平面,其具有對應於物件(在本例子中為光罩18)之傅立葉轉換(Fourier Transform)之場分布(field distribution)。此平面稱為投影瞳孔平面。瞳孔相位調制器22提供調制投影瞳孔平面24上之光之光相位的機制。在一些實施例中,瞳孔相位調制器22包括調整投影光學箱20之反射鏡的機制以用於調制相位。舉例而言,投影光學箱20之反射鏡是可切換的並且被控制來反射極紫外光,藉此調制經過投影光學箱20之光的相位。
在一些實施例中,瞳孔相位調制器22使用放置於投影瞳孔平面24上之瞳孔濾光片(pupil filter)。瞳孔濾光片過濾掉來自光罩18之極紫外光之特定的空間頻率分量(spatial frequency components)。具體而言,瞳孔濾光片係為相位瞳孔濾光片,其功能為調制被引導經過投影光學箱20之光的相位分布。然而,由於所有材料都吸收極紫外光,因此在一些微影系 統(例如:極紫外光微影系統)中,相位瞳孔濾光片之使用受到限制。
如前文所述,微影系統10亦包括基板台28以固定將被圖案化之目標26(例如:半導體基板)。在本實施例中,半導體基板係為半導體晶圓(例如:矽晶圓或其他類型之晶圓)。將對輻射束(例如:本實施例中之極紫外光)敏感之阻劑層(resist layer)塗佈於目標26。各種組件(包括前述之組件)被整合在一起並且可被操作來進行微影曝光製程。微影系統10可更包括其他模組或者可與其他模組整合(或者耦合)在一起。
將根據一些實施例進一步說明光罩18及其製作方法。在一些實施例中,光罩製造流程包括兩個作業:空白光罩(blank mask)製造流程以及光罩圖案化製程。在空白光罩製造流程期間,經由在適當之基板上沉積適當之膜層(例如:反射多膜層)形成空白光罩。接著,於光罩圖案化製程期間圖案化空白光罩以達到所期望之積體電路之膜層設計。接著,使用經圖案化之光罩將電路圖案(例如:積體電路之膜層之設計)轉移至半導體晶圓上。可經由不同之微影製程將圖案反覆轉移至多個晶圓上。使用一系列之光罩以建構完整的積體電路。
光罩18包括適當之結構,例如:於各實施例中之雙強度光罩(binary intensity mask,BIM)以及相移光罩(phase-shifting mask,PSM)。舉例而言,雙強度光罩包括吸收區域(或稱為不透明區域)以及反射區域,其被圖案化以定義將被轉移至目標之積體電路圖案。在不透明區域中,設置有吸收體(absorber),且入射光幾乎完全被吸收體吸收。在反射區域 中,吸收體被移除並且以多膜層(multilayer,ML)繞射入射光。相移光罩可為減光式相移光罩(attenuated PSM,AttPSM)或交替式相移光罩(alternating PSM,AltPSM)。舉例而言,相移光罩包括根據積體電路圖案被圖案化之第一反射層(例如:反射多膜層)以及第二反射層。在一些例子中,減光式相移光罩通常從其吸收體具有2%至15%之反射率,而交替式相移光罩通常從其吸收體具有大於50%之反射率。
第2-9圖為根據本發明一實施例之微影光罩於各製造階段之示意性局部剖面側視圖。請參照第2圖,其更詳細地繪示出第1圖中之極紫外光微影光罩18。極紫外光微影光罩18包括由低熱膨脹材料所形成之基板30。低熱膨脹材料可包括氧化鈦摻雜氧化矽及/或其他本領域中已知之低熱膨脹材料。在一些實施例中,導電層32被附加地設置於低熱膨脹材料基板30之一側42(亦稱為背側)的下方,以用於靜電吸盤(electrostatic chucking)之目的。舉例而言,導電層32包括氮化鉻(CrN)。在其他的實施例中,導電層32亦可能具有其他適當之組成,例如:含鉭(tantalum-containing)材料。
極紫外光微影光罩18包括設置於低熱膨脹材料基板30之一側44(亦稱為前側)上之反射多膜層(ML)結構34。可選擇多膜層結構34,以使其對所選擇之輻射類型/波長能提供高反射率。多膜層結構34包括複數個膜層對,例如:Mo/Si膜層對(例如:於每一膜層對中具有位於一層矽之上或之下的一層鉬)。作為替代方案,多膜層結構34可包括Mo/Be膜層對或者折射率差異(refractive index difference)於極紫外光波長範圍為 高度反射之任何材料。
請繼續參照第2圖,極紫外光微影光罩18亦包括設置於多膜層結構34上之蓋層36,以避免多膜層之氧化。在一實施例中,蓋層36包括厚度約為4nm至7nm之矽。極紫外光微影光罩18可更包括設置於蓋層36上之緩衝層38,以於吸收層之圖案化或修復製程中充當蝕刻停止層(etching-stop layer),於後文將對此進行說明。緩衝層38與設置於其上之吸收層具有不同之蝕刻特性。於各例子中,緩衝層38包括釕(Ru)、釕化合物(例如:RuB、RuSi)、鉻(Cr)、氧化鉻以及氮化鉻。
極紫外光微影光罩18亦包括形成於緩衝層38上之吸收層(absorber layer或absorption layer)40。在一些實施例中,吸收層40吸收被引導到極紫外光微影光罩18上之極紫外光輻射。在各實施例中,吸收層可由TaBN、TaBO、或者Cr、Ra所形成,或者由錒、鐳、碲、鋅、銅以及鋁之一或多者之適當之氧化物或氮化物(或合金)所形成。
接著,請參照第3圖,形成光阻層60於吸收層40之上。光阻層60可為極紫外光光阻(例如:對極紫外光範圍中之輻射敏感)。在一些實施例中,可以旋轉塗佈製程(spin-coating process)形成光阻層60。
接著,請參照第4圖,將光阻層60圖案化以形成圖案化光阻層60A。在一些實施例中,將光阻層60圖案化之步驟可包括電子束曝光製程(electron beam(E-beam)exposure process)。接著,使用圖案化光阻層60A充當蝕刻罩幕蝕刻吸收層40,藉此形成圖案化吸收層40A。
請繼續參照第4圖,極紫外光微影光罩18包括三個區域:區域71、區域72以及區域73。圖案化吸收層40A之部分位於極紫外光微影光罩18之區域71中。區域71可被稱為極紫外光微影光罩18之“主要場域”,而位於“主要場域”區域71中之圖案化吸收層40A可於後續製程中被用來在晶圓上定義積體電路特徵部件。
於上視圖(繪示於第27-29圖中,於後文將更詳細說明)中,區域72圍繞區域71。區域72可充當極紫外光微影光罩18之“主要場域”區域71之“邊界”。根據本發明實施例之各層面,使“邊界”區域72維持對極紫外光實質上不反射是有利的。因此,區域72亦可稱為“黑邊界”區域。在第4圖中所繪示的實施例中,於吸收層40中形成溝槽(或凹陷)80,溝槽80之位置對應極紫外光微影光罩18之區域72。
區域73於上視圖中圍繞區域72並且包括“主要場域”區域71以及“黑邊界”區域72外部之極紫外光微影光罩18之其餘部分。區域73可不被特別地配置成對極紫外光為不反射,因此區域73之極紫外光反射率可大於區域72之極紫外光反射率。
接著,請參照第5圖,移除圖案化光阻層60A(例如:使用光阻灰化製程或光阻剝離製程)而露出圖案化吸收層40A。
接著,請參照第6圖,於極紫外光微影光罩18之區域71與73中但不於區域72中,形成圖案化光阻層90於圖案化吸收層40A之上。換句話說,對應於極紫外光微影光罩18之區域72的溝槽80仍然是露出的,但極紫外光微影光罩18之其他剩餘 部分則被圖案化光阻層90覆蓋或保護。
接著,請參照第7圖,從基板30之一側44對極紫外光微影光罩18進行蝕刻製程100。圖案化光阻層90於蝕刻製程100期間充當保護層,以保護位於其下之膜層免於受到蝕刻。同時,蝕刻製程100蝕刻掉部分之膜層36、38以及多膜層結構34。因此,溝槽80向下(從基板30之一側44朝向基板30之另一側42)延伸並穿過膜層36與38以及多膜層結構34。當到達低熱膨脹材料基板30時,蝕刻製程100停止,並且因此部分之低熱膨脹材料基板30經由溝槽80露出。
如前文所述,使區域72維持對極紫外光不反射是有利的,而移除區域72中之多膜層結構34則有助於達到此目的,這是因為區域72中之多膜層結構34之缺少(absence)表示區域72現在不具有光反射材料。
接著,請參照第8圖,對極紫外光微影光罩18進行電漿製程120。在一些實施例中,於同一腔體中進行蝕刻製程100與電漿製程120。在一些實施例中,電漿製程120包括使用氧電漿。在其他的實施例中,電漿製程120包括使用氮電漿。在一些實施例中,使用以下製程參數進行電漿製程120:溫度約為200克耳文(degrees Kelvin)至400克耳文,壓力約為0.5毫托(milli-Torrs,mT)至10毫托,電源功率(source power)約為100瓦至600瓦,偏功率(bias power)約為5伏特至50伏特,流率(不論是氧氣或是氮氣)約為50sccm(standard cubic centimeter per minute)至400sccm,而時間(period)約為10秒至1000秒。
由於電漿製程120,鈍化層130形成於溝槽80之側 壁上。在使用氧電漿形成鈍化層130的實施例中,鈍化層包括SiO2、MoO3或其混合物。在使用氮電漿形成鈍化層130的實施例中,鈍化層包括SiN、MoN或其混合物。所形成之鈍化層130具有厚度135。在一些實施例中,厚度135約為1nm至15nm。
鈍化層130有助於在後續可能使用極紫外光微影光罩18之微影製程中保護極紫外光微影光罩18。舉例而言,掃描曝光機台(例如:用於步進掃描製程(step-and-scan process)中)可能具有氫。若定義溝槽80之側壁之極紫外光微影光罩18(例如:吸收層40A或多膜層結構34)之部分直接暴露於掃描曝光機台之氫中,則可能對極紫外光微影光罩造成侵蝕或損害或者以其他方式影響其效能。在本發明實施例中,鈍化層130之存在避免極紫外光微影光罩18於微影製程中與氫(或其他汙染粒子)直接接觸。因此,極紫外光微影光罩18可具有較長的壽命及/或較良好之效能。
接著,請參照第9圖,移除圖案化光阻層90,例如:經由光阻剝離或灰化製程。極紫外光微影光罩18現在具有包括溝槽80之區域72(亦即,“黑邊界”區域),溝槽80之側表面上形成有鈍化層130。低熱膨脹材料基板30對極紫外光實質上不反射,而溝槽80之開口(openness,例如:真空)意味著經由溝槽80露出之低熱膨脹材料基板30之部分將不會反射極紫外光。因此,溝槽80被認為對極紫外光是“黑暗的(dark)”。
應理解的是,使用如第8圖所示之電漿製程120形成鈍化層130僅為例示性之本發明實施例。亦可使用其他技術形成鈍化層130。舉例而言,請參照第10圖,亦可使用離子佈 植製程150於溝槽80之側壁上形成鈍化層130。在一些實施例中,離子佈植製程150佈植原子序小於15之元素。在一些實施例中,離子佈植製程150可為單一元素佈植製程,或者在一些其他實施例中,其可為多元素佈植製程。在一些實施例中,使用約10keV至800keV之佈植能量進行離子佈植製程150。在一些實施例中,以約為10度至75度之傾斜角進行離子佈植製程150。可在與蝕刻製程100不同之腔體中進行離子佈植製程150。在一些實施例中,以離子佈植製程150所形成之鈍化層130之厚度可約為1nm至10nm。
在形成鈍化層130之後,移除圖案化光阻層90,而對應於使用離子佈植之實施例所形成之極紫外光微影光罩18大抵上與對應於使用電漿製程之實施例類似。
第11-17圖根據本發明實施例之各層面繪示出製造極紫外光微影光罩18的另一個實施例。為了一致性以及簡明起見,第11-17圖中將以與第2-10圖中相同之方式標示類似之組件。請參照第11圖,導電層32形成於低熱膨脹材料基板30之一側42上,多膜層結構34形成於低熱膨脹材料基板30之一側44上。蓋層36形成於多膜層結構34上,緩衝層38形成蓋層36上,吸收層40形成於緩衝層38上。光阻層60形成於吸收層40上。
接著,請參照第12圖,將光阻層60圖案化成圖案化光阻層60A。接著,使用圖案化光阻層60A圖案化下方之吸收層40,以形成圖案化吸收層40A。不同於第3圖中所示之實施例,第12圖中之圖案化步驟尚未於極紫外光微影光罩18之區域72中蝕刻出溝槽。換句話說,於第12圖中所示之製造階段,吸 收層圖案被定義於極紫外光微影光罩18之“主要場域”區域71中,但極紫外光微影光罩18之區域72與73中之吸收層40在這個時間點仍然未被蝕刻。
接著,請參照第13圖,移除圖案化光阻層60A,例如:經由光阻剝離或灰化製程。
接著,請參照第14圖,形成另一圖案化光阻層90於圖案化吸收層40A上。圖案化光阻層90包括極紫外光微影光罩18之區域72(亦即,”黑邊界”區域)中的溝槽80。
接著,請參照第15圖,進行蝕刻製程100將溝槽80向下延伸穿過膜層36與38以及多膜層結構34直到到達低熱膨脹材料基板30之上表面。如前文所述,由於蝕刻掉區域72中之多膜層結構34,且溝槽80(例如:以真空填充)暴露出不反射之低熱膨脹材料基板30之部分,因此區域72對極紫外光實質上不反射。
接著,請參照第16圖,形成鈍化層130於溝槽80之側壁上。在一些實施例中,可以前文配合第8圖說明之電漿製程120形成鈍化層130。在一些其他實施例中,可以前文配合第10圖說明之離子佈植製程150形成鈍化層130。如前文所述,鈍化層130避免極紫外光微影光罩18被不期望地暴露在如汙染粒子中,因而可增進極紫外光微影光罩18之壽命及/或效能。
接著,請參照第17圖,移除圖案化光阻層90。再一次,所形成之極紫外光微影光罩18可以說是具有對極紫外光光譜範圍中之光實質上不反射的“黑邊界”區域72。
亦應理解的是,以前文所述之電漿製程120或以前 文所述之離子佈植製程150形成鈍化層130並非是用來保護溝槽80之側壁唯一適當之結構。舉例而言,如第18圖所示,作為第7或15圖中所進行之蝕刻製程100的替代方案,可進行聚焦離子束蝕刻製程(focused ion beam(FIB)etch process)200,以於極紫外光微影光罩18中蝕刻出溝槽80。聚焦離子束蝕刻製程200使用經加速之離子束於膜層36與38以及多膜層結構34中挖出(dig)溝槽80。在一些實施例中,離子源可為鎵。在其他的實施例中,離子源可為氬。在一些實施例中,進行聚焦離子束蝕刻製程200,使得離子束之能量約為5keV至30keV,且/或具有約為0.2nA至20000nA之束電流。
加速之氬離子束或鎵離子束之使用亦對溝槽80之側表面具有處理效果,例如:經由修改膜層36與38以及多膜層結構34側表面之微結構性質與材料組成。因此,膜層36與38以及多膜層結構34之經修改的側表面可足以充當保護層(類似於鈍化層130),以有助於避免或最小化所不期望之膜層36與38以及多膜層結構34暴露於汙染粒子中之情況。舉例而言,膜層36與38之側表面可包括所佈植之Ar或Ga。因此,於第18圖中所示的實施例中,鈍化層130之形成並非是必要的。應理解的是,在聚焦離子束蝕刻製程200之前的製造步驟可與配合第2-6圖或第11-14圖所述之製程相同。
於前述的實施例中,經由溝槽80(例如:真空)露出之低熱膨脹材料基板30之部分可被認為是極紫外光微影光罩18“黑邊界”區域72中之實質上不反射之材料。然而,在其他的實施例中,亦可形成其他不反射之材料於極紫外光微影光罩18 之“黑邊界”區域72中,此部分將於後文配合第19-26圖進行說明。再一次,為了一致性及簡明起見,於所有圖中類似之組件將以相同之方式標示。
請參照第19圖,提供“空白”極紫外光微影光罩18。在此製造階段之極紫外光微影光罩18包括低熱膨脹材料基板30、形成於低熱膨脹材料基板30之一側42上之導電層32、形成於低熱膨脹材料基板30之一側44上之多膜層結構34、形成於多膜層結構34上之蓋層36以及形成於蓋層36上之緩衝層38。於此製造階段尚未形成吸收層。
接著,請參照第20圖,從低熱膨脹材料基板30之一側44對極紫外光微影光罩18進行雷射製程。更詳細而言,雷射束230被投射到極紫外光微影光罩18之區域72上,但未被投射到區域71以及73上。在一些實施例中,使用約為193nm至1500nm之雷射波長、約為1瓦至100瓦之雷射功率以及約為100fs-CW(fs代表飛秒(femtoseconds)而CW代表連續波(continuous wave))之雷射脈波時間(laser pulse duration)進行雷射製程。
由於使用雷射束230,不反射之元件250形成於極紫外光微影光罩18之區域72中。舉例而言,雷射束230之使用產生熱。所產生的熱可能會引起位於區域72中之多膜層結構34中之膜層對(例如:矽與鉬之膜層對)之間的相互擴散(inter-diffusion)。多膜層結構34中之相互擴散破壞了位於區域72中之多膜層結構34之反射特性。因此,多膜層結構34之相互擴散之部分成為不反射之元件250。在一些實施例中,所形成之不反射之元件250為Mo與Si之合金。
在一些實施例中,可經由調整雷射束230之參數配置不反射之元件250之橫向尺寸255,例如:經由調整雷射束230之強度及/或覆蓋區域(area coverage)。在一些實施例中,橫向尺寸255約為200nm至350nm。亦應理解的是,在一些實施例中,不反射之元件250可延伸穿過膜層36與38,或者在一些其他的實施例中,其可未延伸穿過膜層36與38。
接著,請參照第21圖,形成吸收層40於膜層38之上,並且形成光阻層60於吸收層40之上。
接著,請參照第22圖,將光阻層60圖案化成圖案化光阻層60A。接著,圖案化光阻層60A被用來圖案化下方之吸收層40,以形成圖案化吸收層40A。
接著,請參照第23圖,移除圖案化光阻層60A,例如:經由光阻剝離或灰化製程。
相較於前文配合第2-18圖說明之實施例(暴露出低熱膨脹材料基板30之溝槽80作為不反射之元件),對應於第19-23圖之實施例將元件250埋置(embed)於極紫外光微影光罩18之區域72中以作為不反射之材料。元件250對於極紫外光光譜範圍中之光實質上不反射,這是因為多膜層結構34中膜層對之間之相互擴散破壞了“黑邊界”區域72中之多膜層結構之部分的反射特性。
於前文配合第19-23圖所述之實施例中,在將吸收層40圖案化之前進行雷射處理製程(以形成不反射之元件250)。然而,在一些實施例中,亦可在將吸收層40圖案化之後進行雷射處理製程。舉例而言,請參照第24圖,其繪示出已形 成之圖案化吸收層40A(例如:經由使用圖案化光阻層60A將吸收層40圖案化)。接著,在將吸收層40圖案化之後移除圖案化光阻層60A。根據此實施例,於此製造階段尚未形成不反射之元件250,但已於極紫外光微影光罩18之區域72中形成了溝槽80。
接著,請參照第25圖,進行雷射處理製程以形成埋置於多膜層結構34中之不反射之元件250。作為雷射處理製程之一部分,雷射束230經過溝槽80並被投射至多膜層結構34。再一次,雷射束230所產生的熱可引起多膜層結構34中反射之膜層對之間的相互擴散,藉此將部分之多膜層結構34轉變成區域72中不反射之元件250。
亦應理解的是,在一些實施例中,亦可從低熱膨脹材料基板30之一側42進行雷射處理製程。舉例而言,如第26圖所示,已於低熱膨脹材料基板30之一側44上形成了多膜層結構34、蓋層36、緩衝層38以及吸收層40,但尚未於低熱膨脹材料基板30之一側42上形成導電層32。尚未形成導電層32之理由在於避免導電層32阻擋雷射束之情況。如第26圖所示,可於區域72中將雷射束230從低熱膨脹材料基板30之一側42朝向低熱膨脹材料基板30之另一側44投射。在不受到導電層32阻擋的情況下,雷射束230可穿過低熱膨脹材料基板30以處理多膜層結構34,例如:經由引起多膜層結構34中之相互擴散。因此,不反射之元件250係從低熱膨脹材料基板30之一側42形成於多膜層結構34中。在形成不反射之元件250之後,製造流程可類似於前述之各實施例。舉例而言,可經由圖案化光阻層將吸收層 40圖案化,使得區域71中之吸收層之圖案化特徵部件可於後續製程中被用來定義積體電路圖案。
第27圖根據本發明實施例繪示出極紫外光微影光罩18之上視圖。如第27圖所示,位於極紫外光微影光罩18中心之“主要場域”區域71可佔據極紫外光微影光罩18大量之空間。如前文所述,圖案化吸收層特徵部件位於區域71中,此些圖案化吸收層特徵部件可於後續使用極紫外光微影光罩18之半導體製造流程中被用來定義積體電路元件。
區域71被區域72(亦稱為“黑邊界”區域)環繞(例如:360度圍繞)。如前文所述,本發明實施例形成“黑邊界”區域72,使其被對極紫外光不反射之材料填充。因此,“黑邊界”區域72可降低或避免場域對場域干擾之問題(此問題可能會負面地影響傳統之極紫外光微影光罩)。在第27圖之實施例中,不反射之材料可包括延伸穿過吸收層之溝槽(例如:前文所述之溝槽80)。可以真空填充溝槽而露出不反射之低熱膨脹材料基板30。此外,在一些實施例中,亦可形成鈍化層(例如:前文所述之鈍化層130)於溝槽之側壁上(例如:經由氧/氮電漿或經由離子佈植)。鈍化層有助於避免極紫外光微影光罩暴露於所不期望之汙染材料中。
區域72亦被包括極紫外光微影光罩18之剩餘部分之區域73所環繞(circumferentially surrounded)。由於區域73於後續之製造流程中未被用來定義積體電路特徵部件,因此區域73可包括未被具體圖案化之吸收層之部分。區域73可包括在某種程度上不反射之材料,但其不反射之程度不如“黑邊界”區域 72中之材料。
第28圖根據本發明另一實施例繪示出極紫外光微影光罩18之上視圖。第28圖之實施例類似於第27圖之實施例,除了第28圖之實施例之極紫外光微影光罩18更包括一或多個位於區域72中之橋接部(bridge)300。舉例而言,四個橋接部300被繪示於第28圖之實施例中,其中區域71之四側之每一者各自與不同之橋接部300連接。橋接部300使區域71與區域73電性連接在一起,以避免或減少電荷於區域71中累積之情況。換句話說,橋接部300可充當擴散多餘電荷之導管(conduit)。在一些實施例中,橋接部300係由多膜層結構34中之Mo/Si多膜層所形成。
第29圖根據本發明又一實施例繪示出極紫外光微影光罩18之上視圖。第29圖之實施例類似於第27圖之實施例,除了第29圖之實施例之極紫外光微影光罩18之區域72不包括以真空填充之溝槽,而是包括埋置於多膜層結構中之不反之射材料,例如:前文所述之不反射之元件250。在一些實施例中,區域72中之不反射之材料可包括多膜層結構之相互擴散之膜層對,可經由施加雷射束而反應形成相互擴散之膜層對。應理解的是,埋置之不反射之材料亦可延伸穿過極紫外光微影光罩18之蓋層及/或緩衝層。
第30圖為根據本發明實施例各層面之進行微影光罩製造流程之方法600之流程圖。
方法600包括於基板上形成反射結構之步驟610。在一些實施例中,反射結構包括多膜層結構,其被配置來針對 預定之輻射波長提供高反射率(例如:高於預定臨界值之反射率)。
方法600包括於反射結構上形成吸收層之步驟620。
方法600包括定義微影光罩之第一區域之步驟630,其中定義第一區域之步驟包括將吸收層圖案化。
方法600包括定義微影光罩之第二區域之步驟640。所定義之第二區域於上視圖中圍繞第一區域,且定義第二區域之步驟包括形成實質上不反射之材料於第二區域中。在一些實施例中,形成實質上不反射之材料之步驟包括形成對極紫外光實質上不反射之材料。在一些實施例中,定義第二區域的步驟包括於第二區域中蝕刻出溝槽,上述溝槽垂直地延伸穿過反射結構。在一些實施例中,方法600更包括:使用氧電漿製程或氮電漿製程於溝槽之側壁上形成鈍化層。在一些實施例中,方法600更包括:使用離子佈植製程於溝槽之側壁上形成鈍化層。在一些實施例中,蝕刻出溝槽之步驟包括蝕刻出穿過吸收層之溝槽。在一些實施例中,使用聚焦離子束進行蝕刻出溝槽之步驟。在一些實施例中,定義第二區域之步驟包括對第二區域中之反射結構之部分施加雷射。在一些實施例中,形成反射結構之步驟包括形成複數個第一膜層以及與此些第一膜層交錯之複數個第二膜層,而施加雷射之步驟則於此些第一膜層與第二膜層之間引起相互擴散。
應理解的是,可於方法600之步驟610至640之前、之間或之後進行額外之製程以完成微影光罩之製造。舉例而 言,微影光罩包括於上視圖中圍繞第二區域之第三區域,而方法600可更包括於第二區域中形成一或多個橋接部之步驟,且上述一或多個橋接部使第一區域與第三區域互連。為了簡明起見,於此不詳述額外之步驟。
第31圖係為根據本發明一些實施例之使用微影光罩進行半導體製造之方法700的流程圖。
方法700包括接收微影光罩之步驟710。微影光罩包括第一區域以及於上視圖中圍繞第一區域之第二區域。第一區域包括位於反射多膜層結構上之圖案化吸收層。第二區域包括實質上不反射之材料。
方法700包括使用上述微影光罩進行極紫外光微影製程之步驟720。
在一些實施例中,實質上不反射之材料包括位於反射多膜層結構中之溝槽,上述溝槽被真空填充並且露出不反射之低熱膨脹材料基板,鈍化層位於上述溝槽之表面上。
在一些實施例中,反射多膜層結構包括複數個交錯之第一膜層與第二膜層,實質上不反射之材料包括埋置於反射多膜層結構中之元件,上述元件包含相互擴散之第一膜層與第二膜層。
由以上可知,本發明實施例之極紫外光微影光罩提供優於傳統之極紫外光微影光罩之優點。然而,應理解的是,其他實施例可提供額外之優點,於此未必揭露了所有的優點,且沒有一特定之優點是所有實施例都需要的。其中一個優點在於本發明實施例之極紫外光微影光罩可實質上減輕與傳 統之極紫外光微影光罩有關之場域對場域干擾之問題。舉例而言,若沒有本發明實施例之“黑邊界”,極紫外光微影光罩於主要場域區域之邊緣仍可能具有來自於吸收層之不為零的極紫外光反射率。不為零的極紫外光反射率可能會導致場域對場域干擾,而於晶圓印刷期間負面地影響臨界尺寸表現(critical dimension performance)。於本發明實施例中,經由形成“黑邊界”區域(其可包括作為不反射結構之真空填充(vacuum-filled)溝槽(露出不反射之低熱膨脹材料基板)或者埋置之相互擴散膜層對),可降低主要場域之間的干擾。因此,本發明實施例可降低與臨界尺寸有關之錯誤。經由在“黑邊界”區域中之溝槽之側壁上形成鈍化層,本發明實施例另一個優點在於減少了極紫外光微影光罩於使用此極紫外光微影光罩之微影製程期間可能發生之暴露於所不期望之汙染粒子中的情況。此外,於此所述之形成極紫外光微影光罩之製程實施起來容易且便宜而不會實質上增加製造成本。
本發明實施例之一層面關於微影光罩。上述微影光罩包括基板。反射結構設置於上述基板之第一側上。圖案化吸收層設置於上述反射結構上。上述微影光罩包括第一區域以及於上視圖中圍繞上述第一區域之第二區域。上述圖案化吸收層位於第一區域中。實質上不反射之材料位於第二區域中。
在一些實施例中,上述反射結構係被配置來反射極紫外光,且上述實質上不反射之材料係被配置為實質上對上述極紫外光不反射。
在一些實施例中,上述實質上不反射之材料對應 上述反射結構之一缺少。
在一些實施例中,上述實質上不反射之材料包括延伸穿過上述反射結構之溝槽。
在一些實施例中,上述微影光罩更包括設置於上述溝槽側壁上之鈍化層,上述鈍化層含有氧或氮。
在一些實施例中,上述反射結構包括與複數個第二膜層交錯之複數個第一膜層,上述實質上不反射之材料包括此些第一膜層與此些第二膜層之相互擴散結構。
在一些實施例中,上述微影光罩更包括圍繞上述第二區域之第三區域,上述第一區域與上述第三區域經由位於上述第二區域中之一或多個橋接部電氣互連(electrically interconnected)。
本發明實施例之另一層面關於微影光罩之製造方法。上述方法包括形成反射結構於基板上、形成吸收層於上述反射結構上、定義上述微影光罩之第一區域以及定義上述微影光罩之第二區域。定義上述第一區域之步驟包括將上述吸收層圖案化。所定義之第二區域於上視圖中圍繞第一區域。定義上述第二區域之步驟包括形成實質上不反射之材料於第二區域中。
在一些實施例中,形成上述反射結構之步驟包括形成反射極紫外光之結構,且形成上述實質上不反射之材料之步驟包括形成對上述極紫外光實質上不反射之材料。
在一些實施例中,定義上述第二區域之步驟包括於上述第二區域中蝕刻出溝槽,上述溝槽垂直地延伸穿過上述 反射結構。
在一些實施例中,上述方法更包括使用氧電漿製程或氮電漿製程形成鈍化層於上述溝槽之側壁上。
在一些實施例中,上述方法更包括使用離子佈植製程形成鈍化層於上述溝槽之側壁上。
在一些實施例中,蝕刻出上述溝槽之步驟包括蝕刻出上述溝槽以穿過上述吸收層。
在一些實施例中,使用聚焦離子束(FIB)進行蝕刻出上述溝槽之步驟。
在一些實施例中,定義上述第二區域之步驟包括施加雷射於上述第二區域中之上述反射結構之部分。
在一些實施例中,形成上述反射結構之步驟包括形成複數個第一膜層以及與此些第一膜層交錯之複數個第二膜層,且以引起此些第一膜層與此些第二膜層之間之相互擴散之方式進行施加上述雷射之步驟。
在一些實施例中,上述微影光罩包括於上視圖中圍繞上述第二區域之第三區域,上述微影光罩之製造方法更包括形成一或多個橋接部於上述第二區域中,上述一或多個橋接部將上述第一區域與上述第三區域互連。
本發明實施例之又一層面關於進行微影製程之方法。上述方法包括接收微影光罩以及使用上述微影光罩進行極紫外光微影製程。上述微影光罩包括第一區域以及於上視圖中圍繞上述第一區域之第二區域。上述第一區域包括位於反射多膜層結構上之圖案化吸收層。上述第二區域包括實質上不反射 之材料。
在一些實施例中,上述實質上不反射之材料包括位於上述反射多膜層結構中之溝槽,且鈍化層位於上述溝槽之表面上。
在一些實施例中,上述反射多膜層結構包括複數個交錯之第一膜層與第二膜層,上述實質上不反射之材料包括埋置於上述反射多膜層結構中之元件,上述元件包含相互擴散之上述第一膜層與上述第二膜層。
前述內文概述了許多實施例之特徵部件,使本技術領域中具有通常知識者可以更加了解相應之詳細說明。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到與在此介紹的實施例相同之目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。

Claims (20)

  1. 一種微影光罩,包括:一基板;一反射結構,設置於該基板之一第一側之上;一圖案化吸收層,設置於該反射結構之上;其中:該微影光罩包括一第一區域以及於一上視圖中圍繞該第一區域之一第二區域;該圖案化吸收層位於該第一區域中;且一實質上不反射之材料位於該第二區域中。
  2. 如申請專利範圍第1項所述之微影光罩,其中:該反射結構係被配置來反射極紫外光;且該實質上不反射之材料係被配置為實質上對該極紫外光不反射。
  3. 如申請專利範圍第1項所述之微影光罩,其中該實質上不反射之材料對應該反射結構之一缺少。
  4. 如申請專利範圍第1項所述之微影光罩,其中該實質上不反射之材料包括延伸穿過該反射結構之一溝槽。
  5. 如申請專利範圍第4項所述之微影光罩,更包括:一鈍化層,設置於該溝槽之側壁上,其中該鈍化層含有氧或氮。
  6. 如申請專利範圍第1項所述之微影光罩,其中:該反射結構包括複數個第一膜層與複數個第二膜層交錯;且 該實質上不反射之材料包括該些第一膜層與該些第二膜層之一相互擴散結構。
  7. 如申請專利範圍第1項所述之微影光罩,其中:該微影光罩更包括圍繞該第二區域之一第三區域;且該第一區域與該第三區域經由位於該第二區域中之一或多個橋接部電氣互連。
  8. 一種微影光罩之製造方法,包括:形成一反射結構於一基板之上;形成一吸收層於該反射結構之上;定義該微影光罩之一第一區域,其中定義該第一區域之步驟包括將該吸收層圖案化;以及定義該微影光罩之一第二區域,其中所定義之該第二區域於上視圖中圍繞該第一區域,且其中定義該第二區域之步驟包括形成一實質上不反射之材料於該第二區域中。
  9. 如申請專利範圍第8項所述之微影光罩之製造方法,其中:形成該反射結構之步驟包括形成反射極紫外光之一結構;且形成該實質上不反射之材料之步驟包括形成對該極紫外光實質上不反射之一材料。
  10. 如申請專利範圍第8項所述之微影光罩之製造方法,其中定義該第二區域之步驟包括於該第二區域中蝕刻出一溝槽,其中該溝槽垂直地延伸穿過該反射結構。
  11. 如申請專利範圍第10項所述之微影光罩之製造方法,更包括: 使用一氧或氮電漿製程形成一鈍化層於該溝槽之側壁上。
  12. 如申請專利範圍第10項所述之微影光罩之製造方法,更包括:使用一離子佈植製程形成一鈍化層於該溝槽之側壁上。
  13. 如申請專利範圍第10項所述之微影光罩之製造方法,其中蝕刻出該溝槽之步驟包括蝕刻出該溝槽以穿過該吸收層。
  14. 如申請專利範圍第10項所述之微影光罩之製造方法,其中使用一聚焦離子束進行蝕刻出該溝槽之步驟。
  15. 如申請專利範圍第8項所述之微影光罩之製造方法,其中定義該第二區域之步驟包括施加一雷射於該第二區域中之該反射結構之一部分。
  16. 如申請專利範圍第15項所述之微影光罩之製造方法,其中:形成該反射結構之步驟包括形成複數個第一膜層以及與該些第一膜層交錯之複數個第二膜層;且以引起該些第一膜層與該些第二膜層之間之相互擴散之方式進行施加該雷射之步驟。
  17. 如申請專利範圍第8項所述之微影光罩之製造方法,其中該微影光罩包括於一上視圖中圍繞該第二區域之一第三區域,且其中該微影光罩之製造方法更包括:形成一或多個橋接部於該第二區域中,其中該一或多個橋接部將該第一區域與該第三區域互連。
  18. 一種進行微影製程之方法,包括:接收一微影光罩,該微影光罩包括:一第一區域以及於一上視圖中圍繞該第一區域之一第二區 域,其中該第一區域包括位於一反射多膜層結構之上之一圖案化吸收層,且其中該第二區域包括一實質上不反射之材料;以及使用該微影光罩進行一極紫外光微影製程。
  19. 如申請專利範圍第18項所述之進行微影製程之方法,其中:該實質上不反射之材料包括位於該反射多膜層結構中之一溝槽;且一鈍化層位於該溝槽之表面上。
  20. 如申請專利範圍第18項所述之進行微影製程之方法,其中:該反射多膜層結構包括複數個交錯之第一膜層與第二膜層;且該實質上不反射之材料包括埋置於該反射多膜層結構中之一元件,該元件包含相互擴散之第一膜層與第二膜層。
TW107122080A 2017-12-22 2018-06-27 微影光罩、微影光罩之製造方法以及進行微影製程之方法 TWI713114B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/851,829 US10866504B2 (en) 2017-12-22 2017-12-22 Lithography mask with a black border region and method of fabricating the same
US15/851,829 2017-12-22

Publications (2)

Publication Number Publication Date
TW201929087A true TW201929087A (zh) 2019-07-16
TWI713114B TWI713114B (zh) 2020-12-11

Family

ID=66951093

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107122080A TWI713114B (zh) 2017-12-22 2018-06-27 微影光罩、微影光罩之製造方法以及進行微影製程之方法

Country Status (3)

Country Link
US (3) US10866504B2 (zh)
CN (1) CN109960104B (zh)
TW (1) TWI713114B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112305856A (zh) * 2019-07-30 2021-02-02 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
TWI830983B (zh) * 2020-06-16 2024-02-01 南韓商三星電子股份有限公司 極紫外光微影相移光罩

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11048158B2 (en) 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
US10809613B2 (en) * 2018-09-25 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US20210033959A1 (en) * 2019-08-01 2021-02-04 Samsung Electronics Co., Ltd. Extreme ultraviolet photomask manufacturing method and semiconductor device fabrication method including the same
TWI811609B (zh) * 2020-03-30 2023-08-11 台灣積體電路製造股份有限公司 用於極紫外光微影的全反射式相位邊緣光罩
TWI768718B (zh) * 2020-04-28 2022-06-21 台灣積體電路製造股份有限公司 製造半導體元件的方法
US11442356B2 (en) * 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer
US11402743B2 (en) * 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mask defect prevention
CN114153125B (zh) * 2020-09-08 2024-03-26 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
KR20220123810A (ko) 2021-03-02 2022-09-13 삼성전자주식회사 반사형 포토마스크 및 그 제조 방법
JP2022135775A (ja) * 2021-03-05 2022-09-15 キオクシア株式会社 半導体装置の製造方法、積層配線構造体の製造方法、およびイオンビーム照射装置

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040131947A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Reflective mask structure and method of formation
US7362412B2 (en) 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP4602430B2 (ja) * 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
JP4663749B2 (ja) * 2008-03-11 2011-04-06 大日本印刷株式会社 反射型マスクの検査方法および製造方法
JP5136647B2 (ja) 2008-09-05 2013-02-06 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法
TWI450324B (zh) 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8916482B2 (en) * 2012-04-02 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a lithography mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US20130255717A1 (en) 2012-04-03 2013-10-03 Kla-Tencor Corporation System and method for cleaning surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
FR2990743B1 (fr) 2012-05-15 2014-05-02 Valeo Sys Controle Moteur Sas Vanne de controle moteur a etancheite amelioree
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US9138785B2 (en) 2012-07-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced cleaning and inspection
US9118001B2 (en) * 2012-07-11 2015-08-25 Varian Semiconductor Equipment Associates, Inc. Techniques for treating sidewalls of patterned structures using angled ion treatment
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8988652B2 (en) * 2012-10-18 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for ultraviolet (UV) patterning with reduced outgassing
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
WO2015161934A1 (en) * 2014-04-23 2015-10-29 Asml Netherlands B.V. A lithographic apparatus, radiation source, and lithographic system
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN105093817A (zh) * 2014-05-23 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种光掩模图案的修复方法
US10347485B2 (en) 2014-09-17 2019-07-09 Hoya Corporation Reflective mask blank, method for manufacturing same, reflective mask, method for manufacturing same, and method for manufacturing semiconductor device
US9529250B2 (en) * 2014-10-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask with ITO absorber to suppress out of band radiation
US9709884B2 (en) * 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
JP6374360B2 (ja) 2015-08-04 2018-08-15 東芝メモリ株式会社 Euvマスク及びその製造方法
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112305856A (zh) * 2019-07-30 2021-02-02 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
TWI739532B (zh) * 2019-07-30 2021-09-11 台灣積體電路製造股份有限公司 極紫外光微影光罩與利用極紫外光微影圖案化半導體晶圓的方法
US11215918B2 (en) 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
US11650493B2 (en) 2019-07-30 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
US11960201B2 (en) 2019-07-30 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
CN112305856B (zh) * 2019-07-30 2024-05-24 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
TWI830983B (zh) * 2020-06-16 2024-02-01 南韓商三星電子股份有限公司 極紫外光微影相移光罩

Also Published As

Publication number Publication date
US10866504B2 (en) 2020-12-15
US20190196322A1 (en) 2019-06-27
US20210294203A1 (en) 2021-09-23
CN109960104B (zh) 2023-01-17
US20200050098A1 (en) 2020-02-13
CN109960104A (zh) 2019-07-02
US11029593B2 (en) 2021-06-08
TWI713114B (zh) 2020-12-11
US11852966B2 (en) 2023-12-26

Similar Documents

Publication Publication Date Title
TWI713114B (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
KR101751576B1 (ko) 극자외선 리소그래피 공정, 및 쉐도우 현상이 감소되고 강도가 향상된 마스크
US9116435B2 (en) Extreme ultraviolet lithography mask
KR101722821B1 (ko) Id 패턴의 결함이 프린트될 가능성을 완화시키는 방법
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
US10859902B2 (en) Lithography mask and method
US11809075B2 (en) EUV lithography mask with a porous reflective multilayer structure
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US11982936B2 (en) Photomask and method of fabricating a photomask
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
KR100945933B1 (ko) 극자외선 리소그래피에 사용되는 마스크 및 제조 방법
US9897910B2 (en) Treating a capping layer of a mask
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
JP2004172339A (ja) 極短紫外光の露光用マスク