TWI811609B - 用於極紫外光微影的全反射式相位邊緣光罩 - Google Patents

用於極紫外光微影的全反射式相位邊緣光罩 Download PDF

Info

Publication number
TWI811609B
TWI811609B TW110100277A TW110100277A TWI811609B TW I811609 B TWI811609 B TW I811609B TW 110100277 A TW110100277 A TW 110100277A TW 110100277 A TW110100277 A TW 110100277A TW I811609 B TWI811609 B TW I811609B
Authority
TW
Taiwan
Prior art keywords
reflective multilayer
patterned
euv
substrate
euv lithography
Prior art date
Application number
TW110100277A
Other languages
English (en)
Other versions
TW202137294A (zh
Inventor
鄭文豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/026,645 external-priority patent/US20210302824A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202137294A publication Critical patent/TW202137294A/zh
Application granted granted Critical
Publication of TWI811609B publication Critical patent/TWI811609B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

根據本發明之一些實施例,一種極紫外光(EUV)微影光罩包含一低熱膨脹材料之一基板、該基板上方之一第一反射多層及該第一反射多層上方之一圖案化反射多層。該圖案化反射多層包含穿過該圖案化反射多層之溝槽。該第一反射多層及該圖案化反射多層之各者包含一膜對堆疊。

Description

用於極紫外光微影的全反射式相位邊緣光罩
本發明實施係有關用於極紫外光微影的全反射式相位邊緣光罩。
半導體積體電路(IC)產業已經歷快速增長。在IC演進之過程中,功能密度(即,每晶片面積之互連裝置之數目)一般已增大,而幾何大小(即,可使用一製程產生之最小組件(或線))已減小。此按比例縮小程序一般藉由提高生產效率及降低相關聯成本來提供益處。此按比例縮小亦增加處理及製造IC之複雜性,且為實現此等進步,需要IC製造之類似發展。
例如,已利用極紫外光(EUV)微影來支援更小裝置之臨界尺寸(CD)要求。EUV微影採用掃描儀以使用EUV區域中具有約1 nm至約100 nm之一波長之輻射。除EUV掃描儀使用反射而非折射光學器件(例如反射鏡而非透鏡)之外,類似於一些光學掃描儀,一些EUV掃描儀提供4x縮小投影印刷。用於EUV微影中之光罩提出新挑戰。例如,一些EUV光罩在一反射多層(ML)上方使用一圖案化吸收劑,其中吸收劑吸收EUV光且ML反射EUV光以藉此產生一圖案化EUV輻射用於EUV微影。歸因於對蝕刻選擇性、側壁輪廓、線性度等等之嚴格要求,圖案化吸收劑可能較困難。此外,吸收劑在曝露期間引起一些EUV能量損失,其降低EUV晶圓每小時(WPH, wafer per hour)通量。此外,吸收EUV光加熱EUV光罩。為此,一些EUV光罩有時必須離線用於冷卻,其進一步降低EUV WPH通量。因此,儘管既有微影方法一般已足夠,但其非所有方面都令人滿意。
根據本發明之一實施例,一種EUV微影光罩包括:一低熱膨脹材料之一基板;一第一反射多層,其在該基板上方;及一圖案化反射多層,其在該第一反射多層上方,其中該圖案化反射多層包含穿過該圖案化反射多層之溝槽,且該第一反射多層及該圖案化反射多層之各者包含一膜對堆疊。
根據本發明之一實施例,一種製造一EUV微影光罩之方法包括:接收一結構,該結構具有一低熱膨脹材料之一基板、該基板上方之一第一反射多層、該第一反射多層上方之一蝕刻停止層、該蝕刻停止層上方之一第二反射多層及該第二反射多層上方之一覆蓋層;在該覆蓋層上方形成一圖案化光阻劑;透過該圖案化光阻劑蝕刻該覆蓋層及該第二反射多層,直至曝露該蝕刻停止層;及移除該圖案化光阻劑。
根據本發明之一實施例,一種製造一EUV微影光罩之方法包括:接收一結構,該結構具有一低熱膨脹材料之一基板及該基板上方之一反射多層;在該反射多層上方形成一圖案化光阻劑;透過該圖案化光阻劑蝕刻該反射多層以形成未完全蝕刻穿過該反射多層之一第一深度之溝槽;及移除該圖案化光阻劑。
以下揭露提供用於實施所提供標的之不同特徵之諸多不同實施例或實例。下文將描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不意在限制。例如,在以下描述中,使一第一構件形成於一第二構件上方或一第二構件上可包含其中形成直接接觸之該第一構件及該第二構件之實施例,且亦可包含其中額外構件可形成於該第一構件與該第二構件之間使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係為了簡單及清楚且其本身不指示所討論之各種實施例及/或組態之間的一關係。
此外,為便於描述,諸如「下面」、「下方」、「下」、「上方」、「上」及其類似者之空間相對術語在本文中可用於描述一元件或構件與另一(些)元件或構件之關係,如圖中所繪示。空間相對術語除涵蓋圖中所描繪之定向之外,亦意欲涵蓋裝置在使用或操作中之不同定向。可依其他方式定向設備(旋轉90度或依其他定向)且亦可因此解譯本文中所使用之空間相對描述詞。此外,當用「約」、「大致」及其類似者描述一數目或一數目範圍時,除非另有說明,否則術語涵蓋某些變動(諸如+/-10%)內之數目或根據熟習技術者鑑於本文中所揭露之特定技術之知識所描述之其他數目。例如,術語「約5 nm」涵蓋自4.5 nm至5.5 nm、4.0 nm至5 nm等等之尺寸範圍。
本申請案係關於一種半導體製程及其結構,且更特定言之,本申請案係關於一種新穎EUV (極紫外光)光罩。如上文所討論,一些EUV光罩在一反射多層(ML)上方使用一圖案化吸收劑。此類型之EUV光罩指稱二元EUV光罩。存在與圖案化吸收劑有關之問題。例如,一般難以精確蝕刻一吸收劑以產生理想光罩圖案。而且,一吸收劑給EUV光罩帶來額外EUV能量損失及額外熱,該兩者降低EUV WPH通量。本揭露之一般目的包含製造無圖案化吸收劑之一新型EUV光罩。代替地,圖案化一反射多層(ML)以產生用於電路之圖案(或ML圖案),諸如1維線/空間圖案、2維孔圖案或其他圖案。ML圖案可進一步由一薄覆蓋層覆蓋保護。使用此新型EUV光罩,成像對比度由相位邊緣(ML圖案之邊緣)調變。因此,此新型EUV光罩指稱全反射式相位邊緣光罩或FR-PEM。實驗及模擬表明,在由對比度、ILS (影像對數斜率, Image Log Slope)、NILS (正規化ILS)及/或DoF (聚焦深度, Depth of Focus)量測之成像效能等效之情況下,FR-PEM實現比二元EUV光罩高得多之WPH通量。FR-PEM亦可產生與二元EUV光罩相同或比其更好之成像效能。因此,FR-PEM能夠同時最佳化較高WPH通量及EUV微影成像效能。參考附圖來描述本發明之方法及裝置之細節。
圖1A展示利用根據本發明之一或多個實施例之一FR-PEM用於EUV微影之一EUV微影系統100。系統100包含產生一輻射束104之一輻射源102、聚光光學器件106、一光罩台110上之一光罩108、投影光學器件112及一基板台114上之一基板116。其他組態及物品之包含或省略可為可行的。在本揭露中,系統100可為一步進器或一掃描儀。
輻射源102提供具有EUV範圍(諸如約1 nm至約100 nm)內之一波長之輻射束104。在一實施例中,輻射束104具有約13.5 nm之一波長。聚光光學器件106包含一多層塗覆收集器及複數個掠射鏡。聚光光學器件106經組態以收集及塑形輻射束104且將輻射束104之一狹縫提供至光罩108。光罩108 (亦指稱一光罩(photomask)或一倍縮光罩)包含一或多個目標IC裝置之圖案。光罩108將一圖案化空中影像提供至輻射束104。在本實施例中,光罩108係一反射光罩,尤其為一全反射式相位邊緣光罩或FR-PEM,如下文將進一步詳細描述。因此,其亦指稱FR-PEM 108。光罩108可併入諸如光學鄰近校正(OPC)之其他解析度提高技術。光罩台110 (諸如)藉由真空將光罩108固定於其上,且在EUV微影系統100中之對準、聚焦、調平及曝露操作期間提供光罩108之準確定位及移動。
投影光學器件112包含一或多個透鏡及複數個反射鏡。透鏡可具有小於1之一放大率以藉此減小光罩108至基板116之圖案化空中影像。基板116包含具有對輻射束104敏感之一光阻層之一半導體晶圓(或一晶圓)。基板116由基板台114固定,基板台114在EUV微影系統100中之對準、聚焦、調平及曝露操作期間提供基板116之準確定位及移動,使得光罩108之圖案化空中影像依一重複方式曝露至基板116上(但其他微影方法係可行的)。
輻射源102可包含經組態以在空間頻率上匹配FR-PEM 108中之圖案之源光瞳。例如,輻射源102可包含雙極照明以使一FR-PEM 108與1維線/空間圖案匹配。圖1B中展示一雙極照明源102之一實例。圖1B中亦展示具有交替配置之線圖案108a及空間108b之一FR-PEM 108之一俯視圖。舉另一實例而言,輻射源102可包含四極照明以使一FR-PEM 108與2維孔陣列圖案匹配。圖1C中展示四極照明源102之一實例。圖1C中亦展示具有由列及行中之空間108d分離之一島108c陣列之一FR-PEM 108之一俯視圖。應注意,鑑於一微影投影系統中之縮小因數(例如,自一光罩特徵至一光阻特徵縮小4倍),圖1B及圖1C中所展示之FR-PEM 108之俯視圖可大致相同於已形成於或將形成於基板116上之一光阻層中之一目標圖案之一俯視圖。舉又一實例而言,輻射源102可包含六極照明,圖1D中展示其之一實例。已展示具有一頻率匹配源光瞳以增大光罩108上之ML圖案之蝕刻深度變動之錯誤容限。在一些實施例中,ML圖案(例如圖2、圖3及圖4中之ML圖案374)可高度變動+/-2 nm或+/-3 nm且仍分別達成晶圓影像CD (臨界尺寸)變動約0.3 nm及約0.5 nm。此CD變動對(例如)約12.5 nm之一CD目標而言一般係可接受的。
在使基板116曝露於輻射束104之後,將其移動至一顯影劑,其中基於區域是否曝露於輻射束104來移除基板116之光阻層之區域以藉此將圖案自光罩108轉印至基板116。在一些實施例中,一顯影劑包含一水基顯影劑,諸如用於一正性顯影(PTD)之氫氧化四甲基銨(TMAH)。在其他實施例中,一顯影劑可包含用於一負性顯影(NTD)之一有機溶劑或有機溶劑之一混合物,諸如甲基a-戊基酮(MAK)或涉及MAK之一混合物。施加一顯影劑包含(例如)藉由一旋塗程序將一顯影劑噴塗於曝露光阻膜上。施加一顯影劑亦包含使用一曝露後烘烤(PEB)程序、一顯影後烘烤(PDB)程序或其等之一組合。顯影或圖案化光阻層用於進一步處理基板116以形成目標IC裝置。例如,可使用圖案化光阻層作為一蝕刻光罩來蝕刻基板116之一或多個層以形成電路特徵。
圖2提供沿圖1B之A-A線或圖1C之A-A線取得之光罩108之一橫截面圖。參考圖2,光罩108包含一材料層310、放置於材料層310上方之一反射多層(ML) 320及放置於反射ML 320上方之一圖案化ML 370。另外,在一些實施例中,為了靜電吸附,一導電層305可放置於材料層310下方。在一實施例中,導電層305包含氮化鉻(CrN)。在另一實施例中,導電層305包含約60 nm至約80 nm之硼化鉭(TaB)。光罩108中之其他組態及各種物品之包含或省略可為可行的。
材料層310包含用於使歸因於由增強EUV輻射引起之光罩加熱之影像失真最小化之一低熱膨脹材料(LTEM)。因此,材料層310亦指稱一LTEM層310或一LTEM基板310。LTEM層310可包含熔融二氧化矽、熔融石英、氟化鈣(CaF2 )、碳化矽、氧化矽-氧化鈦合金及/或其他適合低熱膨脹材料。
反射多層(ML) 320放置於LTEM層310上方。ML 320包含複數個膜對,諸如鉬-矽(Mo/Si)膜對(例如各膜對中一矽層上方或下方之一鉬層)。替代地,ML 320可包含鉬-鈹(Mo/Be)膜對或具有大折射率差或小消光係數之任何兩個材料或兩材料組合。ML 320之各層之厚度取決於EUV輻射104之波長及一入射角。針對一指定入射角,ML 320之各層之厚度可經調整以達成對在ML 320之不同界面處反射之輻射之最大相長干涉。膜對之一典型數目係20至80,但任何數目個膜對係可行的。在一實施例中,ML 320包含40對Mo/Si層。各Mo/Si膜對具有約7 nm之一厚度,例如Mo約3 nm及Si約4 nm。在此情況中,達成約70%之一反射率。
圖案化ML 370放置於反射ML 320上方。圖案化ML 370亦包含複數個膜對,諸如鉬-矽(Mo/Si)膜對、鉬-鈹(Mo/Be)膜對或具有大折射率差及小消光係數之任何兩個材料或兩材料組合。在一實施例中,圖案化ML 370包含相同於ML 320之材料,但具有比ML 320少之膜對數目。在另一實施例中,圖案化ML 370包含不同於ML 320之材料。例如,圖案化ML 370可包含Mo/Si膜對,而ML 320包含Mo/Be膜對,或反之亦然。
如圖2中所展示,圖案化ML 370包含由溝槽372分離之脊374。脊374亦指稱ML圖案374。輻射束104由ML圖案374及ML 320兩者透過溝槽372反射。針對負性光罩及晶圓圖案化程序,脊374對應於圖1B中之線108a或圖1C中之島108c,且溝槽372對應於圖1B中之空間108b或圖1C中之間隔列/行108d。針對正性光罩及晶圓圖案化程序,溝槽372對應於圖1B中之線108a或圖1C中之島108c,且脊374對應於圖1B中之空間108b或圖1C中之間隔列/行108d。由ML圖案374反射之輻射束104及由ML 320反射之輻射束104具有不同相位。此相位差在一晶圓影像中產生對比度。特定言之,當跨ML圖案374之邊緣轉變時,相位差最大。此不同於具有一圖案化吸收劑之二元EUV光罩,其中圖案化吸收劑吸收而非反射輻射束104。本實施例之光罩108之一優點係其比二元EUV光罩吸收更少EUV能量且反射更多EUV能量。因此,使用光罩108比使用二元EUV光罩更多反射EUV能量朝向晶圓116 (圖1A)上之光阻層以增加WPH通量。而且,由於光罩108比二元EUV光罩吸收更少EUV能量,因此其比二元EUV光罩產生更少熱且可工作更長壽命。
在一些實施例中,溝槽372之寬度Wl取決於目標IC佈局設計且可在約20 nm至約120 nm之一範圍內,諸如自約40 nm至約90 nm。在一些實施例中,基於寬度W1調諧ML 370之厚度H1 (H1亦係ML圖案374之高度或溝槽372之深度)以達成(諸如)由成像對比度及/或ILS量測之特定成像品質。例如,當W1在50 nm至90 nm之範圍內時,可在約20 nm至約160 nm之一範圍內調諧H1,諸如自約60 nm至約 120nm。當H1超出上述(若干)範圍時,光罩108無法達成一可接受成像對比度及/或ILS。如上文所討論,H1可在溝槽327之間變動數奈米(諸如+/-2 nm或+/-3 nm)且仍達成可接受晶圓影像CD變動。此放寬溝槽蝕刻要求且係本發明之又一優點。
圖3繪示根據另一實施例之光罩108之一橫截面圖,除添加一覆蓋層330之外,其相同於圖2中之實施例。覆蓋層330亦指稱一保護層330。覆蓋層330放置於ML圖案374之頂面及側壁表面及ML 320曝露於溝槽372中之頂面上方。當光罩108處於一清潔程序中時,覆蓋層330可保護ML 320及ML圖案374免受氧化以藉此增強光罩108之清潔耐久性。覆蓋層330亦可增強光罩108對EUV輻射之耐久性。在一實施例中,覆蓋層330經沈積以在ML 320及ML圖案374之各個表面上具有一實質上均勻厚度。在一些實施例中,覆蓋層330之厚度在約1 nm至約5 nm之一範圍內,諸如約2 nm至約5 nm或約2 nm至約3 nm。若覆蓋層330薄於此範圍(例如,薄於1 nm),則其一般無法對ML 320及ML圖案374提供足夠保護。若覆蓋層330厚於此範圍(例如,厚於5 nm),則其對光學成像及WHP通量之負面影響將非常明顯。當覆蓋層330之厚度在以上所揭露之範圍內時,其對光學成像及WHP通量之影響係約-1%至約-2% (如由3D光學模擬所確認),鑑於其提供之保護,此一般係可接受的。在本實施例中,覆蓋層330包含具有低k (消光係數)之一材料,諸如釕(Ru)、矽(Si)、碳化矽(SiC)、其等之一組合(例如一Si層上方之一Ru層)或其他適合材料。在各種實施例中,可使用ALD、CVD或其他適合方法來沈積覆蓋層330。
圖4繪示根據又一實施例之光罩108之一橫截面圖,其類似於圖2中之實施例且具有額外特徵。如所繪示,光罩108包含ML 320及圖案化ML 370。光罩108進一步包含一蝕刻停止層325及一覆蓋層330。蝕刻停止層325放置於ML 320與圖案化ML 370之間。特定言之,溝槽372曝露蝕刻停止層325且不曝露ML 320。覆蓋層330放置於ML圖案374之頂面上。在本實施例中,覆蓋層330不放置於ML圖案374之側壁表面上。蝕刻停止層325具有不同於ML 370之蝕刻特性且在ML 370之一圖案化或修復程序中充當一蝕刻停止層。在本實施例中,蝕刻停止層325包含具有低k (消光係數)之一材料,諸如釕(Ru)、矽(Si)、碳化矽(SiC)、其等之一組合或其他適合材料。在一實施例中,蝕刻停止層325可具有約1 nm至約8 nm之範圍內之一厚度,諸如約2 nm至約5 nm。在一實施例中,蝕刻停止層325包含一Si層上方之一Ru層。Ru層可具有約2 nm至約4 nm之一厚度,諸如3.5 nm。Si層可具有約2 nm至約4 nm之一厚度,諸如3.5 nm。層325之厚度經設計以達成其蝕刻停止功能,但對成像品質無太多負面影響。若層325薄於上述範圍(例如薄於1 nm),則其一般無法提供預期蝕刻停止功能。若層325厚於上述範圍(例如厚於8nm),則其對光學成像及WHP通量之負面影響將非常明顯。覆蓋層330可具有上文參考圖3所討論之一組成及厚度。當層325及330之厚度在上文所揭露之範圍內時,其對光學成像及WHP通量之影響係約-1%至約-2% (如由3D光學模擬所確認),鑑於其提供之功能,此一般係可接受的。
層305、310、320、370、325及330之各者可由包含以下各者之各種方法形成:物理汽相沈積(PVD)程序(諸如蒸鍍及DC磁控濺鍍)、一鍍覆程序(諸如無電極鍍覆或電鍍)、一化學汽相沈積(CVD)程序(諸如大氣壓CVD (APCVD)、低壓CVD (LPCVD)、電漿增強CVD (PECVD)或高密度電漿CVD (HDP CVD))、原子層沈積(ALD)、離子束沈積及/或其他方法。
實驗及模擬表明,FR-PEM光罩108 (諸如圖2、圖3及圖4中所展示之實施例)實現比具有等效成像效能之二元光罩高得多之WPH通量。在一實驗中,一雙極照明源(諸如圖1B中之雙極照明源102)用於產生具有一節距25 nm (例如線寬12.5 nm及空間寬度12.5 nm)之一目標線/空間圖案。源光瞳根據2光束干涉之等焦條件最佳化: 在由成像對比度、ILS、NILS及DoF量測之成像品質等效之情況下,使用二元EUV光罩所需之一EUV能量劑量比使用FR-PEM 108所需之一EUV能量劑量多約2.569倍。換言之,使用FR-PEM 108使WPH通量比使用二元EUV光罩加速2.569倍。此外,FR-PEM 108提供比二元EUV光罩高之錯誤容限,如由掃描狹縫中心邊緣ΔCD減小約2倍及MEEF (光罩錯誤提高因數)減小約4倍至約5倍所證明。
在另一實驗中,四極照明源(諸如圖1C中之四極照明源102)用於產生具有一間距25 nm (例如島寬12.5 nm及空間寬度12.5 nm)之一目標2維孔/陣列圖案。源光瞳根據2光束干涉之等焦條件最佳化: 在由成像對比度、ILS、NILS及DoF量測之成像品質等效之情況下,使用二元EUV光罩所需之一EUV能量劑量比使用FR-PEM 108所需之一EUV能量劑量多約1.83倍。換言之,使用FR-PEM 108使WPH通量比使用二元EUV光罩加速1.83倍。類似於第一實驗,FR-PEM 108提供比二元EUV光罩高之錯誤容限,如由掃描狹縫中心邊緣ΔCD減小約2倍及MEEF減小約4倍至約5倍所證明。
在又一實驗中,六極照明源(諸如圖1D中之六極照明源102)用於產生具有節距25 nm、37 nm及50 nm之目標圖案。源光瞳根據等焦條件最佳化: 在由成像對比度、ILS、NILS及DoF量測之成像品質等效之情況下,使用二元EUV光罩所需之一EUV能量劑量比使用FR-PEM 108所需之一EUV能量劑量多約2.27倍。換言之,使用FR-PEM 108使WPH通量比與使用二元EUV光罩加速2.27倍。類似於前兩個實驗,FR-PEM 108一般提供比二元EUV光罩高之錯誤容限。
可調諧使用FR-PEM 108之一系統(諸如系統100)以同時最佳化成像品質及較高WPH通量兩者。例如,就上文所討論之雙極照明源及四極照明源而言,實驗已表明,使用FR-PEM 108具有比使用二元EUV光罩提高約1.3倍至約1.5倍之WPH通量及更佳成像品質。使用六極照明源已觀察到類似結果。
圖5繪示形成一FR-PEM光罩108,特定言之,圖2及圖3中所展示之光罩108之實施例,之一方法400。下文將結合圖6A至圖6E描述方法400。
在操作402,方法400 (圖5)接收一結構300,如圖6A中所展示。結構300包含一LTEM基板310、放置於LTEM 310上方之一ML 320及放置於ML 320上方之一ML 370。結構300進一步包含放置於LTEM基板310下方之一導電層305。上文已參考圖2討論層305、310、320及370之組成。特定言之,在各種實施例中,ML 320及ML 370可包含相同材料或不同材料。
在操作404,方法400 (圖5)在ML 370上方形成一光阻圖案(或一圖案化光阻劑) 352,諸如圖6B中所展示。光阻圖案352提供曝露ML 370之開口354。在一實施例中,操作402包含光阻劑塗覆(例如旋塗塗覆)、軟烘烤、曝露、曝露後烘烤、光阻劑顯影、沖洗、乾燥(例如硬烘烤)、其他適合程序及/或其等之組合。曝露由電子束直接寫入、多電子束直接寫入或使用一光學寫入器完成。電子束寫入程序可以一光柵掃描模式或一向量掃描模式實施。電子束可為高斯(Gaussian)束或成形束。
光阻圖案352可對應於一IC設計佈局中之層之一者。例如,一IC可包含靜態隨機存取記憶體(SRAM)及/或邏輯電路、被動組件(諸如電阻器、電容器及電感器)及主動組件(諸如p型FET (PFET)、n型FET (NFET)、FinFET、奈米線FET、奈米片FET、金屬氧化物半導體場效電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、其他記憶體單元及其等之組合)。圖7A繪示包含具有6個電晶體之一SRAM單元(即,一6T SRAM)之一IC 200之一示意圖。一IC設計佈局包含針對IC (諸如IC 200)設計之各種幾何圖案。幾何圖案對應於組成IC之各種組件之金屬、氧化物或半導體層之圖案。例如,一IC設計佈局之一部分可包含用於主動區域、閘極電極、源極及汲極、一層間互連之金屬線或通路、用於接合墊之開口等等之幾何特徵。一IC設計佈局一般存在於具有幾何圖案資訊之一或多個資料檔(諸如一GDSII檔或DFII檔)中。一IC設計佈局包含多個圖案層,其中各層將圖案化至一光罩(諸如光罩108)上。圖7B中展示一實例IC佈局202,其包含用於閘極(垂直長條)、主動區域(水平長條)、接點(包含Vss、Vcc、BL、BLB、WL)及切割圖案CPO之幾何圖案。圖7B中之佈局202對應於圖7A中之IC設計200。圖7C展示圖7B中之閘極之幾何圖案212。圖7D展示解析度提高(例如)以解決光學鄰近效應(OPC)之後的閘極之幾何圖案。例如,閘極圖案現包含具有擴大端部分242之主圖案222及次解析度側條圖案232。在一實施例中,將圖案222、242及232轉印至光阻圖案352。
在操作406,方法400 (圖5)使用一蝕刻程序蝕刻ML 370以藉此形成溝槽372,諸如圖6C中所展示。蝕刻程序可包含乾式(電漿)蝕刻、濕式蝕刻及/或其他蝕刻方法。在其中ML 370及ML 320包含不同材料之一實施例中,ML 320可用作一蝕刻停止層以判定何時停止蝕刻。在此實施例中,溝槽372之深度由ML 370之厚度判定。在其中ML 370及ML 320包含相同材料之另一實施例中,可使用一計時器基於一目標溝槽深度來判定何時停止蝕刻,如上文所討論。
在操作408,方法400 (圖5)(例如)藉由光阻劑剝離來移除光阻圖案352。圖6D中展示所得結構300,其變成上文參考圖2所討論之光罩108之一實施例。操作408可對結構300執行額外清潔程序。
在操作410,方法400 (圖5)在ML 320及ML 370上方沈積覆蓋層330,諸如圖6E中所展示。上文已參考圖3討論覆蓋層330之組成及厚度。特定言之,在本實施例中,覆蓋層330沿各個表面沈積至一實質上均勻厚度。可使用ALD、CVD或其他適合方法來沈積覆蓋層330。此導致上文參考圖3所討論之光罩108之一實施例。在方法400之一些實施例中,操作410係選用的且可省略。
圖8繪示形成一FR-PEM光罩108,特定言之,圖4中所展示之光罩108之實施例,之一方法450。下文將結合圖9A至圖9D描述方法450。
在操作452,方法450 (圖8)接收一結構300,如圖9A中所展示。結構300包含一LTEM基板310、放置於LTEM 310上方之一ML 320、放置於ML 320上方之一蝕刻停止層325、放置於蝕刻停止層325上方之一ML 370及放置於ML 370上方之一覆蓋層330。結構300進一步包含放置於LTEM基板310下方之一導電層305。上文已參考圖4討論層305、310、320、325、370及330之組成。例如,在各種實施例中,ML 320及ML 370可包含相同材料或不同材料。
在操作454,方法450 (圖8)在覆蓋層330上方形成一光阻圖案(或一圖案化光阻劑)352,諸如圖9B中所展示。此操作可相同於或類似於上文所討論之操作404。
在操作456,方法450 (圖8)使用一蝕刻程序蝕刻覆蓋層330及ML 370以藉此形成溝槽372,諸如圖9C中所展示。蝕刻程序可包含乾式(電漿)蝕刻、濕式蝕刻及/或其他蝕刻方法。在一實施例中,對覆蓋層330及ML 370之材料選擇性調諧蝕刻程序,而不(或極少)對蝕刻停止層325蝕刻。蝕刻停止層325用於判定何時停止蝕刻。可藉由使用蝕刻停止層325來達成對溝槽372之深度之準確控制。
在操作458,方法450 (圖8)(例如)藉由光阻劑剝離來移除光阻圖案352。圖9D中展示所得結構300,其變成上文參考圖4所討論之光罩108之一實施例。操作458可對結構300執行額外清潔程序。
圖10係根據本發明之各種態樣之使用光微影來曝露一半導體晶圓之一方法600之一流程圖。方法600可完全或部分由諸如系統100之一EUV微影系統實施。
方法600 (圖10)開始於操作604,其中接收或製造一FR-PEM光罩108。上文圖2、圖3及圖4中展示光罩108之一些實施例。可使用上文所討論之圖5及圖8中所展示之方法400或450之實施例來製造光罩108。光罩108可由利用光罩108製造積體電路裝置之相同製造商提供。替代地,光罩108可自可為一第三方之一供應商接收。
方法600 (圖10)進行至操作606,其中接收或提供一晶圓,且晶圓包含一基板及形成於基板上方之一光阻層。參考圖11,繪示一晶圓500 (諸如一半導體晶圓)之一示意性橫截面側視圖。已為了清楚而簡化晶圓500以較佳理解本揭露之發明概念。可在晶圓500中添加額外特徵,且可在晶圓500之其他實施例中替換或消除下文將描述之一些特徵。
參考圖11,晶圓500包含一基板510。基板510可(例如)為一塊體基板或一絕緣體上半導體(SOI)基板。基板510可包括:一元素半導體,諸如一結晶結構中之矽或鍺;一化合物半導體,諸如矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;或其等之組合。可使用植氧分離(SIMOX)、晶圓接合及/或其他適合方法來製造一SOI基板。基板510可為一p型基板、一n型基板或其等之一組合。儘管本揭露提供一基板之各種實例,但除非明確主張,否則本揭露及申請專利範圍之範疇不應受限於特定實例。
仍參考圖11,基板510包含用於圖案化之一或多個層512。層可為(例如)介電層、非介電層、金屬層等等。一或多個層512可由化學汽相沈積(CVD)、物理汽相沈積(PVD)、原子層沈積(ALD)、高密度電漿CVD (HDPCVD)、鍍覆、其他適合方法及/或其等之組合形成。一光阻層514形成於層512上方。光阻層514包含對EUV處理敏感之一材料。光阻層514可由一旋塗程序或任何適合程序形成。可在塗覆光阻層514之後進一步實施其他步驟。例如,可對光阻層514施加一烘烤程序以自光阻層514部分驅除溶劑(用於旋塗程序中)。
方法600 (圖10)進行至操作608,其中將晶圓500定位於一台(諸如台114 (圖1))上以用FR-PEM EUV光罩108進行EUV曝露。在一實施例中,可在一EUV微影系統(諸如系統100 (圖1))中在EUV曝露程序期間移動及對準晶圓500或光罩108或其等兩者。在適當定位晶圓500及光罩108之後,方法600 (圖10)進行至操作610以用一定劑量之EUV輻射束104曝露晶圓500。如上文所討論,使用FR-PEM 108之一益處係可比使用二元EUV光罩減少EUV輻射束104之劑量以藉此提高晶圓每小時通量。
在曝露光阻劑514之後,方法600 (圖10)進行至操作612以進行形成IC裝置中之進一步操作。例如,進一步操作可包含使光阻層514顯影及移除光阻層之曝露於EUV輻射束104之部分(或未曝露,取決於光阻層514之類型)、使用圖案化光阻層514作為一蝕刻光罩來蝕刻一或多個層512、在基板510中形成電路結構等等。
儘管不旨在限制,但本發明之一或多個實施例為一半導體裝置及其形成提供諸多益處。例如,本發明之實施例提供用於EUV微影中之一新穎EUV光罩(一全反射式相位邊緣光罩(或FR-PEM))。一FR-PEM提供比具有等效或更佳成像品質之二元EUV光罩更佳之晶圓每小時(WPH)通量。此能夠同時最佳化WPH通量及EUV微影成像效能。本發明之實施例亦提供製造一FR-PEM之方法及使用一FR-PEM用於半導體製造之系統及方法。
在一實例態樣中,本揭露係針對一種EUV微影光罩,其包含:一低熱膨脹材料之一基板;一第一反射多層,其在該基板上方;及一圖案化反射多層,其在該第一反射多層上方。該圖案化反射多層包含穿過該圖案化反射多層之溝槽,且該第一反射多層及該圖案化反射多層之各者包含一膜對堆疊。
在一實施例中,該EUV微影光罩進一步包含放置於該第一反射多層與該圖案化反射多層之間的一蝕刻停止層。在另一實施例中,該EUV微影光罩進一步包含放置於該圖案化反射多層之頂面上之一覆蓋層。在又一實施例中,該EUV微影光罩進一步包含放置於該圖案化反射多層之頂面及側壁表面上及由該等溝槽曝露之該第一反射多層之頂面上之一覆蓋層。
在該EUV微影光罩之一些實施例中,該膜對堆疊包含鉬及矽膜對。在一些實施例中,該圖案化反射多層包含與該等溝槽交替之線圖案。在一些實施例中,該等溝槽之一第一子集沿一第一方向定向且該等溝槽之一第二子集沿大體上垂直於該第一方向之一第二方向定向。在一些實施例中,該EUV微影光罩進一步包含放置於該基板下方之一導電層。
在另一實例態樣中,本揭露係針對一種製造一EUV微影光罩之方法。該方法包含:接收一結構,該結構具有一低熱膨脹材料之一基板、該基板上方之一第一反射多層、該第一反射多層上方之一蝕刻停止層、該蝕刻停止層上方之一第二反射多層及該第二反射多層上方之一覆蓋層。該方法進一步包含:在該覆蓋層上方形成一圖案化光阻劑;透過該圖案化光阻劑蝕刻該覆蓋層及該第二反射多層,直至曝露該蝕刻停止層;及移除該圖案化光阻劑。
在該方法之一些實施例中,該第二反射多層具有自約60 nm至約120 nm之一範圍內之一厚度。在一些實施例中,該第一反射多層及該第二反射多層之各者包含交替鉬及矽對之一堆疊。在一些實施例中,該覆蓋層包含Ru、Si、SiC或其等之一組合。在一實施例中,該蝕刻停止層包含Ru、Si、SiC或其等之一組合。在另一實施例中,該結構進一步包含放置於該基板下方之一導電層。
在又一實例態樣中,本揭露係針對一種製造一EUV微影光罩之方法。該方法包含:接收一結構,該結構具有一低熱膨脹材料之一基板及該基板上方之一反射多層;在該反射多層上方形成一圖案化光阻劑;透過該圖案化光阻劑蝕刻該反射多層以形成未完全蝕刻穿過該反射多層之一第一深度之溝槽;及移除該圖案化光阻劑。
在該方法之一實施例中,該第一深度在60 nm至120 nm之一範圍內。在另一實施例中,該反射多層包含交替鉬及矽對之一堆疊。
在一實施例中,該方法進一步包含在該反射多層之頂面及該等溝槽之側壁及底面上方沈積一覆蓋層。在另一實施例中,該覆蓋層在該反射多層之該等頂面及該等溝槽之該側壁及該等底面上方具有約2 nm至約5 nm之一厚度。在該方法之一些實施例中,該覆蓋層包含Ru、Si、SiC或其等之一組合。
上文已概述若干實施例之特徵,使得一般技術者可較佳理解本發明之態樣。一般技術者應瞭解,其可易於將本揭露用作用於設計或修改用於實施相同目的及/或達成本文中所引入之實施例之相同優點之其他程序及結構之一基礎。一般技術者亦應意識到,此等等效建構不應背離本發明之精神及範疇,且其可在不背離本發明之精神及範疇之情況下對本文作出各種改變、取代及更改。
100:極紫外光(EUV)微影系統 102:輻射源 104:輻射束/EUV輻射 106:聚光光學器件 108:光罩/全反射式相位邊緣光罩(FR-PEM) 108a:線/線圖案 108b:空間 108c:島 108d:間隔列/行/空間 110:光罩台 112:投影光學器件 114:基板台 116:基板/晶圓 200:積體電路(IC)/IC設計 202:IC佈局 212:幾何圖案 222:主圖案 232:次解析度側條圖案 242:擴大端部分 300:結構 305:導電層 310:材料層/低熱膨脹材料(LTEM)層/LTEM基板 320:反射多層(ML) 325:蝕刻停止層 330:覆蓋層/保護層 352:光阻圖案 354:開口 370:圖案化ML 372:溝槽 374:脊/ML圖案 400:方法 402:操作 404:操作 406:操作 408:操作 410:操作 450:方法 452:操作 454:操作 456:操作 458:操作 500:晶圓 510:基板 512:層 514:光阻層/光阻劑 600:方法 604:操作 606:操作 608:操作 610:操作 612:操作 H1:厚度 W1:寬度
自結合附圖閱讀之以下詳細描述最佳理解本揭露。應注意,根據行業標準做法,各種構件未按比例繪製且僅用於說明。實際上,為使討論清楚,可任意增大或減小各種構件之尺寸。
圖1A係根據本發明之一或多個實施例之使用一EUV光罩之一極紫外(EUV)微影曝露系統之一圖式。圖1B、圖1C及圖1D繪示根據一些實施例之用於圖1A之系統中之光源及目標圖案。
圖2、圖3及圖4繪示根據本發明之各種態樣之一EUV光罩之實施例之橫截面圖。
圖5繪示根據本發明之一實施例之製造一EUV光罩之一方法之一流程圖。
圖6A、圖6B、圖6C、圖6D及圖6E繪示根據圖5中之方法之各個製造步驟中之一EUV光罩之一實施例之橫截面圖。
圖7A、圖7B、圖7C及圖7D繪示根據本發明之一實施例之實施至一EUV光罩上之一電路設計。
圖8繪示根據本發明之另一實施例之製造一EUV光罩之一方法之一流程圖。
圖9A、圖9B、圖9C及圖9D繪示根據圖8中之方法之各個製造步驟中之一EUV光罩之一實施例之橫截面圖。
圖10係繪示根據本發明之各種態樣之曝露用於製造IC之一半導體晶圓之一方法的一流程圖。
圖11繪示根據本發明之各種態樣之一半導體晶圓之一實施例之一橫截面圖。
108:光罩/全反射式相位邊緣光罩(FR-PEM)
300:結構
305:導電層
310:材料層/低熱膨脹材料(LTEM)層/LTEM基板
320:反射多層(ML)
330:覆蓋層/保護層
370:圖案化ML
372:溝槽

Claims (10)

  1. 一種極紫外光(EUV)微影光罩,其包括:一低熱膨脹材料之一基板;一第一反射多層,其在該基板上方;及一圖案化反射多層,其在該第一反射多層上方,且與該第一反射多層直接接觸,其中該圖案化反射多層包含穿過該圖案化反射多層之溝槽,且該第一反射多層及該圖案化反射多層之各者包含一膜對堆疊,其中該EUV微影光罩的該圖案化反射多層上不具吸收劑層。
  2. 如請求項1之EUV微影光罩,其中該第一反射多層的膜對數量多於該圖案化反射多層的膜對數量。
  3. 如請求項1之EUV微影光罩,其進一步包括:一覆蓋層,其放置於該圖案化反射多層之頂面上。
  4. 如請求項1之EUV微影光罩,其進一步包括:一覆蓋層,其放置於該圖案化反射多層之頂面及側壁表面上及由該等溝槽曝露之該第一反射多層之頂面上。
  5. 如請求項1之EUV微影光罩,其中該膜對堆疊包含鉬及矽膜對。
  6. 如請求項1之EUV微影光罩,其中該圖案化反射多層包含與該等溝槽 交替之線圖案。
  7. 如請求項1之EUV微影光罩,其中該等溝槽之一第一子集沿一第一方向定向且該等溝槽之一第二子集沿大體上垂直於該第一方向之一第二方向定向。
  8. 如請求項1之EUV微影光罩,其進一步包括放置於該基板下方之一導電層。
  9. 一種製造一EUV微影光罩之方法,其包括:接收一結構,該結構具有一低熱膨脹材料之一基板、該基板上方之一第一反射多層、位於該第一反射多層上之一第二反射多層,且該第二反射多層與該第一反射多層接觸;在該第二反射多層上方形成一圖案化光阻劑;透過該圖案化光阻劑蝕刻該第二反射多層,直至曝露該第一反射多層,進而在該第二反射多層中形成複數個溝槽,該等複數個溝槽與一或多個目標IC裝置的圖案對應;及移除該圖案化光阻劑。
  10. 一種製造一EUV微影光罩之方法,其包括:接收一結構,該結構具有一低熱膨脹材料之一基板及該基板上方之一反射多層,其中該反射多層由兩種不同之材料膜交錯堆疊而成;在該反射多層上方形成一圖案化光阻劑; 透過該圖案化光阻劑蝕刻該反射多層以形成未完全蝕刻穿過該反射多層之一第一深度之複數個溝槽,該等複數個溝槽與一或多個目標IC裝置的圖案對應;及移除該圖案化光阻劑。
TW110100277A 2020-03-30 2021-01-05 用於極紫外光微影的全反射式相位邊緣光罩 TWI811609B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063002194P 2020-03-30 2020-03-30
US63/002,194 2020-03-30
US17/026,645 US20210302824A1 (en) 2020-03-30 2020-09-21 Fully Reflective Phase-Edge Mask for EUV Lithography
US17/026,645 2020-09-21

Publications (2)

Publication Number Publication Date
TW202137294A TW202137294A (zh) 2021-10-01
TWI811609B true TWI811609B (zh) 2023-08-11

Family

ID=76508694

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110100277A TWI811609B (zh) 2020-03-30 2021-01-05 用於極紫外光微影的全反射式相位邊緣光罩

Country Status (2)

Country Link
CN (1) CN113050360A (zh)
TW (1) TWI811609B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150282072A1 (en) * 2014-03-25 2015-10-01 Michael Glik Apparatus, system and method of wireless communication during a power save state
US20160238939A1 (en) * 2015-02-12 2016-08-18 International Business Machines Corporation Method for monitoring focus in euv lithography
US20190086791A1 (en) * 2017-09-21 2019-03-21 AGC Inc. Reflective mask blank, reflective mask, and process for producing reflective mask blank

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7282307B2 (en) * 2004-06-18 2007-10-16 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultra violet (EUV) radiation and method of making the same
US9766536B2 (en) * 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150282072A1 (en) * 2014-03-25 2015-10-01 Michael Glik Apparatus, system and method of wireless communication during a power save state
US20160238939A1 (en) * 2015-02-12 2016-08-18 International Business Machines Corporation Method for monitoring focus in euv lithography
US20190086791A1 (en) * 2017-09-21 2019-03-21 AGC Inc. Reflective mask blank, reflective mask, and process for producing reflective mask blank

Also Published As

Publication number Publication date
CN113050360A (zh) 2021-06-29
TW202137294A (zh) 2021-10-01

Similar Documents

Publication Publication Date Title
US9488905B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US9116435B2 (en) Extreme ultraviolet lithography mask
US9557649B2 (en) Assist feature for a photolithographic process
US11740547B2 (en) Method of manufacturing extreme ultraviolet mask with reduced wafer neighboring effect
US10859902B2 (en) Lithography mask and method
US11372323B2 (en) Phase-shift mask for extreme ultraviolet lithography
US11906897B2 (en) Method for extreme ultraviolet lithography mask treatment
US10845698B2 (en) Mask, method of forming the same and method of manufacturing a semiconductor device using the same
US11249384B2 (en) Mask for EUV lithography and method of manufacturing the same
US10685846B2 (en) Semiconductor integrated circuit fabrication with pattern-reversing process
US9548209B2 (en) Method for integrated circuit fabrication
US20090233185A1 (en) Extreme Ultraviolet Mask and Method for Fabricating the Same
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
TWI811609B (zh) 用於極紫外光微影的全反射式相位邊緣光罩
US20210302824A1 (en) Fully Reflective Phase-Edge Mask for EUV Lithography
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
KR20240031182A (ko) 포토 마스크를 제조하는 방법
CN113050361A (zh) 制造半导体元件的方法
TW202422207A (zh) 反射光罩及其製造方法
CN117250822A (zh) Euv光掩模及其制造方法