CN109960104B - 具有黑色边界区域的光刻掩模及其制造方法 - Google Patents

具有黑色边界区域的光刻掩模及其制造方法 Download PDF

Info

Publication number
CN109960104B
CN109960104B CN201810457279.4A CN201810457279A CN109960104B CN 109960104 B CN109960104 B CN 109960104B CN 201810457279 A CN201810457279 A CN 201810457279A CN 109960104 B CN109960104 B CN 109960104B
Authority
CN
China
Prior art keywords
region
reflective
mask
passivation layer
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810457279.4A
Other languages
English (en)
Other versions
CN109960104A (zh
Inventor
林进祥
陈建诚
李信昌
陈嘉仁
许倍诚
苏益辰
李环陵
沈仓辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109960104A publication Critical patent/CN109960104A/zh
Application granted granted Critical
Publication of CN109960104B publication Critical patent/CN109960104B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

光刻掩模包括衬底、设置在衬底的第一侧上方的反射结构和设置在反射结构上方的图案化的吸收层。光刻掩模包括第一区域和在俯视图中围绕第一区域的第二区域。图案化的吸收层位于第一区域中。基本非反射材料位于第二区域中。通过以下方法形成光刻掩模:在衬底上方形成反射结构,在反射结构上方形成吸收层,限定光刻掩模的第一区域,以及限定光刻掩模的第二区域。第一区域的限定包括图案化吸收层。第二区域限定为在俯视图中围绕第一区域。第二区域的限定包括在第二区域中形成基本非反射材料。本发明的实施例还涉及具有黑色边界区域的光刻掩模及其制造方法。

Description

具有黑色边界区域的光刻掩模及其制造方法
技术领域
本发明的实施例涉及具有黑色边界区域的光刻掩模及其制造方法。
背景技术
半导体集成电路(IC)工业已经经历了快速增长。IC材料和设计中的技术进步已经产生了多代IC,其中,每一代都比上一代具有更小和更复杂的电路。然而,这种进步已经增加了处理和制造IC的复杂性,并且为了实现这些进步,需要IC处理和制造中的类似发展。在IC演化过程中,功能密度(即,每芯片面积的互连器件的数量)已经普遍增大,而几何尺寸(即,可以使用制造工艺产生的最小组件(或线))已经减小。
随着半导体器件尺寸不断缩小,例如,小于20纳米(nm)节点,传统的光刻技术具有光学限制,这导致分辨率问题并且不能实现期望的光刻性能。相比之下,极紫外(EUV)光刻可以实现更小的器件尺寸。然而,现有的EUV光刻可能仍存在缺陷,例如与晶圆印刷中的场与场干扰有关的缺陷。
因此,现有的光刻系统和方法对于它们的预期目的通常已经足够,但是它们不是在所有方面都已完全令人满意。
发明内容
本发明的实施例提供了一种光刻掩模,包括:衬底;反射结构,设置在所述衬底的第一侧上方;图案化的吸收层,设置在所述反射结构上方;其中,所述光刻掩模包括第一区域和在俯视图中围绕所述第一区域的第二区域;所述图案化的吸收层位于所述第一区域中;以及非反射材料位于所述第二区域中。
本发明的另一实施例提供了一种制造光刻掩模的方法,包括:在衬底上方形成反射结构;在所述反射结构上方形成吸收层;限定所述光刻掩模的第一区域,其中,所述第一区域的限定包括图案化所述吸收层;以及限定所述光刻掩模的第二区域,其中,所述第二区域限定为在俯视图中围绕所述第一区域,并且其中,所述第二区域的限定包括在所述第二区域中形成非反射材料。
本发明的又一实施例提供了一种实施光刻工艺的方法,包括:接收光刻掩模,所述光刻掩模包括:第一区域和在俯视图中围绕所述第一区域的第二区域,其中,所述第一区域包括位于反射多层结构上方的图案化的吸收层,并且其中,所述第二区域包括非反射材料;以及使用所述光刻掩模实施极紫外(EUV)光刻工艺。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的一些实施例构建的光刻系统的示意图。
图2至图26示出了根据本发明的实施例的处于制造的各个阶段的光刻掩模的截面图。
图27至图29示出了根据本发明的不同实施例的光刻掩模的俯视图。
图30是根据本发明的一些实施例的示出制造光刻掩模的方法的流程图。
图31是根据本发明的一些实施例的示出使用光刻掩模实施半导体制造的方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
极紫外(EUV)光刻由于它能够实现较小的半导体器件尺寸而得到广泛使用。然而,实施EUV光刻的传统系统和方法可能具有缺陷。例如,传统EUV系统采用配置为实施EUV光刻的光刻掩模。EUV光刻掩模包括可以称为“主场”的区域,其具有用于在光刻中限定各个集成电路(IC)部件的图案。然而,与传统EUV光刻有关的一个问题是晶圆印刷中的场与场干扰。例如,如果靠近“主场”区域的边缘的区相对于EUV光具有非零反射率,那么这可能导致产生的IC管芯和/或相邻的IC的边缘处的临界尺寸(CD)问题。因此,降低了半导体器件性能。
为了减少或消除场与场干扰问题,本发明形成围绕“主场”区域的边界区域,其中边界区域是非反射的,例如相对于EUV光谱中的光是非反射的。该边界区域也可以称为“黑色边界”区域。以下将参照图1至图30更详细的讨论本发明的各个方面。首先,以下将参照图1讨论EUV光刻系统。接下来,参照图2至图30讨论根据本发明的实施例的EUV掩模的细节。
图1是根据一些实施例构建的EUV光刻系统10的示意图。EUV光刻系统10通常也可以称为扫描仪,该扫描仪配置为以相应的辐射源和曝光模式实施光刻曝光工艺。EUV光刻系统10设计为通过EUV光或EUV辐射曝光光刻胶层。光刻胶层是对EUV光敏感的材料。EUV光刻系统10采用辐射源12产生EUV光,诸如具有在约1nm至约100nm之间的范围内的波长的EUV光。在一个特定实例中,辐射源12产生波长集中在约13.5nm的EUV光。因此,辐射源12也称为EUV辐射源12。
光刻系统10还采用照明器14。在各个实施例中,照明器14包括各个折射光学组件,诸如单个透镜或者具有多个透镜(波带片)的透镜系统,或可选地反射光学器件(用于EUV光刻系统),诸如单个反射镜或具有多个反射镜的反射镜系统以将光从辐射源12导向至掩模台16,特别地将光从辐射源12导向至固定在掩模台16上的掩模18。在本实施例中,其中,辐射源12产生EUV波长范围内的光,照明器14采用反射光学器件。在一些实施例中,照明器14包括偶极子照明组件。
在一些实施例中,可操作照明器14以配置反射镜以向掩模18提供适当的照明。在一个实例中,照明器14的反射镜是可切换的以将EUV光反射到不同的照明位置。在一些实施例中,在照明器14之前的阶段可以额外地包括其他可切换反射镜,可切换反射镜是可控的以使用照明器14的反射镜将EUV光导向至不同的照明位置。在一些实施例中,将照明器14配置为向掩模18提供同轴照明(ONI)。在实例中,采用部分相干性σ至多为0.3的盘形照明器14。在一些其他实施例中,将照明器14配置为向掩模18提供离轴照明(OAI)。在实例中,照明器14为偶极子照明器。在一些实施例中,偶极子照明器具有至多为0.3的部分相干性σ。
光刻系统10还包括配置为固定掩模18的掩模台16。在一些实施例中,掩模台16包括静电卡盘(e-卡盘)以固定掩模18。这是因为气体分子吸收EUV光,并且将用于EUV光刻图案化的光刻系统保持在真空环境中以避免EUV强度损失。在本发明中,术语掩模、光掩模和中间掩模可互换使用以指示相同物质。
在本实施例中,光刻系统10为EUV光刻系统,并且掩模18为反射掩模。为了说明的目的,提供了掩模18的一个示例性结构。掩模18包括具有合适材料的衬底,诸如低热膨胀材料(LTEM)或熔融石英。在各个实例中,LTEM包括TiO2掺杂的SiO2,或具有低热膨胀性的其他合适的材料。在一些实施例中,LTEM包括5%至20%重量的TiO2并且具有低于约1.0×10-6/℃的热膨胀系数。例如,在一些实施例中,LTEM的TiO2掺杂的SiO2材料的热膨胀系数使得针对每1摄氏度的温度变化,TiO2掺杂的SiO2材料的变化小于十亿分之60。当然,也可以使用具有等于或小于TiO2掺杂的SiO2的热膨胀系数的其他合适的材料。
掩模18也包括沉积在衬底上的反射ML。ML包括多个膜对,诸如钼-硅(Mo/Si)膜对(例如,在每个膜对中,钼层位于硅层之上或之下)。可选地,ML可以包括钼-铍(Mo/Be)膜对,或可配置为高度反射EUV光的其他合适的材料。
掩模18还可以包括设置在ML上的用于保护的覆盖层,诸如钌(Ru)。掩模18还包括设置在ML上方的吸收层。图案化吸收层以限定集成电路(IC)的层。可选地,可以在ML上方沉积另一个反射层并且图案化该另一个反射层以限定集成电路的层,从而形成EUV相移掩模。
光刻系统10还包括投影光学模块(或投影光学盒(POB))20以用于将掩模18的图案成像在固定在光刻系统10的衬底台28上的标靶26(例如,半导体衬底)上。在各个实施例中,POB 20具有折射光学器件(诸如用于UV光刻系统)或可选地反射光学器件(诸如用于EUV光刻系统)。由POB 20收集从掩模18射出的光,从掩模18射出的光被衍射成各个衍射级并且携带限定在掩模上的图案的图像。POB 20可以包括小于1的放大率(因此位于标靶(诸如以下讨论的标靶26)上的“图像”的尺寸小于掩模上相应“目标”的尺寸)。照明器14和POB 20共同称为光刻系统10的光学模块。
光刻系统10还包括光瞳相位调制器22以调制从掩模18射出的光的光学相位,从而使得光在投影光瞳面24上具有相位分布。在光学模块中,存在具有与目标(在该情况下为掩模18)的傅里叶变换对应的场分布的平面。该平面称为投影光瞳面。光瞳相位调制器22提供调制投影光瞳面24上的光的光学相位的机制。在一些实施例中,光瞳相位调制器22包括调整POB 20的反射镜的机制以用于相位调制。例如,POB 20的反射镜为可切换的并且被控制以反射EUV光,从而通过POB 20调整光的相位。
在一些实施例中,光瞳相位调制器22利用放置在投影光瞳面24上的光瞳滤波器。光瞳滤波器过滤掉来自掩模18的EUV光的特定空间频率组分。特别地,光瞳滤波器是相位光瞳滤波器,其用于调制通过POB 20射出的光的相位分布。然而,由于所有材料吸收EUV光,在一些光刻系统(诸如EUV光刻系统)中使用相位光瞳滤波器受到限制。
如以上讨论的,光刻系统10还包括衬底台28以固定将被图案化的标靶26,诸如半导体衬底。在本实施例中,半导体衬底为诸如硅晶圆或其他类型的晶圆的半导体晶圆。在本实施例中,使用对诸如EUV光的辐射束敏感的光刻胶层覆盖标靶26。将包括上述那些的各个组件集成在一起并且可操作以实施光刻曝光工艺。光刻系统10还可以包括其他模块或者可与其他模块集成(或连接)。
根据一些实施例,进一步描述掩模18及其制造方法。在一些实施例中,掩模制造工艺包括两个操作:空白掩模制造工艺和掩模图案化工艺。在空白掩模制造工艺期间,通过在合适的衬底上沉积合适的层(例如,多个反射层)形成空白掩模。然后,在掩模图案化工艺期间图案化空白掩模以实现集成电路(IC)的层的期望的设计。然后,图案化的掩模用于将电路图案(例如,IC的层的设计)转印至半导体晶圆。可以通过各个光刻工艺将图案反复转印至多个晶圆上。一组掩模用于构建完整的IC。
在各个实施例中,掩模18包括诸如二元强度掩模(BIM)和相移掩模(PSM)的合适的结构。示例性BIM包括图案化为限定将转印至标靶的IC图案的吸收区域(也称为不透明区域)和反射区域。在不透明区域中,存在吸收剂,并且入射光几乎由吸收剂完全吸收。在反射区域中,去除吸收剂并且入射光由多层(ML)衍射。PSM可以为衰减式PSM(AttPSM)或交替式PSM(AltPSM)。示例性PSM包括根据IC图案图案化的第一反射层(诸如反射ML)和第二反射层。在一些实例中,AttPSM通常具有来自它的吸收剂的2%至15%的反射率,而AltPSM通常具有来自它的吸收剂的大于50%的反射率。
图2至图9是根据本发明的一些实施例的处于制造的各个阶段的光刻掩模的示意性截面侧视图。参照图2,更详细地示出了图1中的EUV光刻掩模18。EUV光刻掩模18包括由LTEM制成的衬底30。LTEM可以包括TiO2掺杂的SiO2和/或本领域已知的其他低热膨胀材料。在一些实施例中,导电层32额外地设置在LTEM衬底30的侧42(也称为背侧)下方以用于静电卡盘的目的。在一个实例中,导电层32包括氮化铬(CrN)。在其它实施例中,诸如含钽材料的其它合适的组分是可能的。
EUV掩模18包括设置在LTEM衬底30的侧44(也称为前侧)上方的多层反射(ML)结构34。可以选择ML结构34,从而使得它对选择的辐射类型/波长提供高反射率。ML结构34包括诸如Mo/Si膜对(例如,在每个膜对中,钼层位于硅层之上或之下)的多个膜对。可选地,ML结构34可以包括Mo/Be膜对,或在EUV波长处具有高度反射的折射率差的任何材料。
仍参照图2,EUV掩模18还包括设置在ML结构34上方以防止ML氧化的覆盖层36。在一个实施例中,覆盖层36包括厚度在从约4nm至约7nm范围内的硅。EUV掩模18还可包括设置在覆盖层36之上以在吸收层的图案化或修复工艺中用作蚀刻停止层的缓冲层38,这将在之后描述。缓冲层38具有与设置在其之上的吸收层不同的蚀刻特性。在各个实例中,缓冲层38包括钌(Ru)、诸如RuB、RuSi的Ru化合物、铬(Cr)、氧化铬和氮化铬。
EUV掩模18还包括形成在缓冲层38上方的吸收剂层40(也称为吸收层)。在一些实施例中,吸收层40吸收导向至EUV掩模18上的EUV辐射。在各个实施例中,吸收层可由氮化钽硼(TaBN)、氧化钽硼(TaBO)或铬(Cr)、镭(Ra)或以下材料的一种或多种的合适的氧化物或氮化物(或合金)制成:锕、镭、碲、锌、铜和铝。
现在参照图3,在吸收层40上方形成光刻胶层60。光刻胶层60可以是EUV光刻胶(例如,对EUV范围内的辐射敏感)。在一些实施例中,可以通过旋涂工艺形成光刻胶层60。
现在参照图4,图案化光刻胶层60以形成图案化的光刻胶层60A。在一些实施例中,光刻胶层60的图案化可以包括电子束(e-束)曝光工艺。然后,利用图案化的光刻胶层60A作用蚀刻掩模来蚀刻吸收层40。因此,形成图案化的吸收层40。
仍参照图4,EUV掩模18包括三个区域:区域71、区域72和区域73。图案化的吸收层40A的部分位于EUV掩模18的区域71中。区域71可以称为EUV掩模18的“主场”,并且位于“主场”区域71中的图案化的吸收层40A可以在之后的工艺中用于限定位于晶圆上的IC部件。
区域72在俯视图中围绕区域71(如图27至图29示出并且在以下进一步详细讨论)。区域72可以用作用于EUV掩模18的“主场”区域71的“边界”。根据本发明的各个方面,保持“边界”区域72相对于EUV光基本非反射是期望的。因此,区域72也可以称为“黑色边界”区域。在图4示出的实施例中,在吸收层40中形成沟槽(或凹槽)80,其中,沟槽80的位置对应于EUV掩模18的区域72。
区域73在俯视图中围绕区域72,并且包括“主场”区域71和“黑色边界”区域72的外部的EUV掩模18的剩余部分。区域73可以不特定配置为相对于EUV光非反射,并且因此区域73可以具有比区域72更大的EUV反射率。
参照图5,例如,使用光刻胶灰化工艺或光刻胶剥离工艺去除图案化的光刻胶层60A。现在暴露图案化的吸收层40A。
参照图6,在EUV掩模18的区域71和73中(但不在区域72中)的图案化的吸收层40A上方形成图案化的光刻胶层90。换句话说,对应于EUV掩模18的区域72的沟槽80仍然暴露,但EUV掩模18的剩余部分由图案化的光刻胶层90覆盖或保护。
现在参照图7,从侧44对EUV掩模18实施蚀刻工艺100。图案化的光刻胶层90在蚀刻工艺100期间用作保护层,以保护位于其之下的层免受蚀刻。同时,蚀刻工艺100蚀刻掉层36和38以及ML结构34的部分。因此,沟槽80向下(从侧44朝向侧42)延伸并且穿过层36和38以及ML结构34。当到达LTEM衬底30时停止蚀刻工艺100,并且因此,LTEM衬底30的部分由沟槽80暴露。
如上讨论的,保持区域72相对于EUV光非反射是期望的,并且区域72中的ML结构34的去除有助于实现该目的,因为区域72中不存在ML结构34意味着区域72现在不具有光反射材料。
现在参照图8,对EUV掩模18实施等离子体工艺120。在一些实施例中,在相同室中实施蚀刻工艺100和等离子体工艺120。在一些实施例中,等离子体工艺120涉及使用氧等离子体。在其他实施例中,等离子体工艺120涉及使用氮等离子体。在一些实施例中,等离子体工艺120用以下工艺参数实施:约200开尔文和约400开尔文之间的范围内的温度,约0.5毫托(mT)和约10mT之间的范围内的压力,约100瓦和约600瓦的范围内的源功率,约5伏和约50伏之间的范围内的偏置功率,约50标准立方厘米每分钟(sccm)和约400sccm之间的范围内的流率(对于氧气或者氮气),以及约10秒和约1000秒之间的范围内的持续时间。
由于等离子体工艺120,在沟槽80的侧壁上形成钝化层130。在使用氧等离子体形成钝化层130的实施例中,钝化层包括SiO2、MoO3或它们的混合物。在使用氮等离子体形成钝化层130的实施例中,钝化层包括SiN、MoN或它们的混合物。钝化层130形成为具有厚度135。在一些实施例中,厚度135在从约1纳米(nm)至约15nm的范围内。
钝化层130有助于在随后的光刻工艺(其中可以使用EUV掩模18)中保护EUV掩模18。例如,扫描仪(例如,在步进扫描工艺中使用的)可以具有氢气。如果限定沟槽80的侧壁的EUV掩模18的部分(例如,吸收层40A或ML结构34)直接暴露于扫描仪的氢气,则其可能导致侵蚀或损坏EUV掩模或影响其性能。这里,钝化层130的存在保护EUV掩模18免于在光刻工艺中与氢(或其他污染粒子)直接接触。因此,EUV掩模18可以具有更长的寿命和/或改进的性能。
现在参照图9,例如,通过光刻胶剥离和灰化工艺去除图案化的光刻胶层90。现在,EUV掩模18具有包括沟槽80的区域72(即,“黑色边界”区域),其中,沟槽80的侧面具有形成在其上的钝化层130。LTEM衬底30相对于EUV光基本是非反射的,并且沟槽80的开口(例如真空)意味着由沟槽80暴露的LTEM衬底30的部分将不反射EUV光。因此,沟槽80相对于EUV被认为是“黑暗的”。
应该理解,使用图8所示的等离子体工艺120来形成钝化层130仅仅是本发明的示例性实施例。也可以使用其他技术来形成钝化层130。例如,现在参照图10,也可以使用离子注入工艺150在沟槽80的侧壁上形成钝化层130。在一些实施例中,离子注入工艺150注入具有小于15的原子序数的元素。离子注入工艺150在一些实施例中可以是单元素注入工艺,或在其他实施例中可以是多元素注入工艺。在一些实施例中,以约10千电子伏特(keV)和约800keV之间的范围内的注入能量实施离子注入工艺150。在一些实施例中,以约10度和约75度之间的倾斜角实施离子注入工艺150。离子注入工艺150可以在与蚀刻工艺100不同的室中实施。在一些实施例中,由离子注入工艺150形成的钝化层130可以具有在约1nm和约10nm之间的范围内的厚度。
在钝化层130的形成之后,去除图案化的光刻胶层90,并且对应于使用离子注入的实施例的产生的EUV掩模18基本类似于与等离子体工艺对应的实施例。
图11至图17示出了根据本发明的各个方面的制造EUV掩模18的另一实施例。为了一致和清楚,图11至图17与图2至图10中的相同的组件标记相同。参照图11,导电层32形成在LTEM衬底30的侧42上,ML结构34形成在LTEM衬底30的侧44上。覆盖层36形成在ML结构34上,缓冲层38形成在覆盖层36上,吸收层40形成在缓冲层38上。光刻胶层60形成在吸收层40上。
现在参照图12,将光刻胶层60图案化成图案化的光刻胶层60A。然后,使用图案化的光刻胶层60来图案化下面的吸收层40,以形成图案化的吸收层40。与图3所示的实施例不同,图12中的图案化还未在EUV掩模18的区域72中蚀刻沟槽。换句话说,在图12所示的制造阶段,在EUV掩模18的“主场”区域71中限定吸收图案,但此时EUV掩模18的区域72和73中的吸收层40仍然保持未被蚀刻。
现在参照图13,例如,通过光刻胶剥离或灰化工艺去除图案化的光刻胶层60A。
现在参照图14,在图案化的吸收层40A上方形成另一图案化的光刻胶层90。图案化的光刻胶层90包括EUV掩模18的区域72(即,“黑色边界”区域)中的沟槽80。
现在参照图15,实施蚀刻工艺100以使沟槽80向下延伸穿过层36与38和ML结构34,直至达到LTEM衬底30的上表面。如以上讨论的,由于蚀刻掉区域72中的ML结构34,并且沟槽80(例如,用真空填充)暴露非反射LTEM衬底30的部分,因此,现在区域72相对于EUV光基本是非反射的。
现在参照图16,在沟槽80的侧壁上形成钝化层130。在一些实施例中,可以通过与图8相关的以上讨论的等离子体工艺120形成钝化层130。在一些其它实施例中,可以通过与图10相关的以上讨论的离子注入工艺150形成钝化层130。如以上讨论的,钝化层130保护EUV掩模18免于不期望地暴露于污染粒子等,并且因此,可以改进EUV掩模18的寿命和/性能。
现在参照图17,去除图案化的光刻胶层90。同样,产生的EUV掩模18可以说具有相对于EUV光谱中的光基本是非反射的“黑色边界”区域72。
应该理解,通过以上讨论的等离子体工艺120或离子注入工艺150形成的钝化层130不是用于保护沟槽80的侧壁的唯一合适的结构。例如,现在参照图18,不是实施图7或图15中的蚀刻工艺100,而是可以实施聚焦离子束(FIB)蚀刻工艺200来蚀刻EUV掩模18中的沟槽80。FIB蚀刻工艺200使用加速离子束将沟槽80挖掘至层36与38和ML结构34内。在一些实施例中,离子源可以是镓。在其他实施例中,离子源可以是氩气。在一些实施例中,实施FIB蚀刻工艺200,从而使得离子束能量在约5keV和约30keV之间的范围内,和/或具有在约0.2nA和约20000nA之间的范围内的束电流。
加速的Ar束或Ga束的施加还例如通过修改层36与38和ML结构34的侧面的微结构特性和材料组分来处理沟槽80的侧面。因此,层36与38和ML结构34的改进的侧面可以充分地用作类似于钝化层130的保护层,以有助于防止或最小化将层36与38和ML结构34不期望地暴露于污染粒子。例如,层36与38的侧面可以包括注入的Ar或Ga。因此,钝化层130的形成在图18所示的实施例中可能不是必需的。应该理解,FIB蚀刻工艺200之前的制造步骤可以与以上参照图2至图6或图11至图14讨论的工艺相同。
在以上讨论的实施例中,由沟槽80(例如,真空)暴露的LTEM衬底30的部分可以被认为是EUV掩模18的“黑色边界”区域72中的基本非反射材料。然而,在其他实施例中,也可以在EUV掩模18的“黑色边界”区域72中形成其他非反射材料,如以下参照图19至图26讨论的。同样,为了一致和清楚,贯穿所有附图,相同的组件标记相同。
参照图19,提供“空白”EUV掩模18。处于该制造阶段的EUV掩模18包括LTEM衬底30、形成在LTEM衬底30的侧42上的导电层32、形成在LTEM衬底的侧44上的ML结构34、形成在ML结构上的覆盖层36和形成在覆盖层36上的缓冲层38。在该制造阶段还没有形成吸收层。
现在参照图20,从侧44对EUV掩模18实施激光处理。更详细地,将激光束230投射至EUV掩模18的区域72,但不投射至其他区域71和73。在一些实施例中,以约193nm和约1500nm之间的范围内的激光波长、以约1瓦和约100瓦之间的范围内的激光功率和约100fs-CW(其中,fs代表飞秒并且CW代表连续波)的激光脉宽实施激光处理。
由于激光束230的施加,在EUV掩模18的区域72中形成非反射元件250。例如,激光束230的施加产生热量。产生的热量可能导致位于区域72中的ML结构34中的膜对(例如,硅膜和钼膜对)之间的相互扩散。ML结构34内的相互扩散破坏了位于区域72中的ML结构34的反射特性。因此,ML结构34的相互扩散部分变成非反射元件250。在一些实施例中,非反射元件250形成为Mo和Si的合金。
在一些实施例中,非反射元件250的横向尺寸255可以通过调整激光束230的参数来配置,例如,通过调整激光束230的强度和/或区域覆盖率。在一些实施例中,横向尺寸255在约200nm和约350nm之间的范围内。还应理解,在一些实施例中,非反射元件250可以延伸穿过层36与38,或在其他实施例中,非反射元件250可以不延伸穿过层36与38。
现在参照图21,在层38上形成吸收层40,并且在吸收层40上形成光刻胶层60。
现在参照图22,将光刻胶层60图案化成图案化的光刻胶层60A。然后,图案化的光刻胶层60A用于图案化下面的吸收层40,以形成图案化的吸收层40A。
现在参照图23,例如,通过光刻胶剥离或灰化工艺去除图案化的光刻胶层60A。
与以上参照图2至图18讨论的实施例相比,沟槽80暴露LTEM衬底30作为非反射元件的实施例对应于图19至图23将元件250嵌入EUV掩模18的区域72内作为非反射材料。由于ML结构34中的膜对之间的相互扩散破坏了“黑色边界”区域72中的ML结构的部分的反射特性,因此元件250相对于EUV光谱中的光基本是非反射的。
在以上参照图19至图23讨论的实施例中,在图案化吸收层40之前,实施激光处理工艺(以形成非反射元件250)。然而,在一些实施例中,也可以在吸收层40的图案化之后实施激光处理工艺。例如,参照图24,图24示出了已经形成的图案化的吸收层40A,例如通过使用图案化的光刻胶层60A来图案化吸收层。然后,在吸收层40A的图案化之后,去除光刻胶层。根据该实施例,在该制造阶段还未形成非反射元件250,但是已经在EUV掩模18的区域72中形成沟槽80。
现在参照图25,实施激光处理工艺以形成嵌入在ML结构34内的非反射元件250。作为激光处理工艺的一部分,将激光束230通过沟槽80投射至ML结构34。同样,由激光束230产生的热量可以引起ML结构34中的反射膜对之间的相互扩散,从而将ML结构34的部分转变成区域72中的非反射元件250。
还应理解,在一些实施例中,激光处理工艺也可以从侧42实施。例如,参照图26,ML结构34、覆盖层36、缓冲层38和吸收层40都已经形成在LTEM衬底30的侧44上方,但是导电层32还没有形成在LTEM衬底30的侧42上方。没有形成导电层32的原因是不使得它阻挡激光束。如图26所示,激光束230可以从侧42朝向区域72中的侧44投射。如果没有导电层32阻挡,则激光束230可以穿过LTEM衬底30来处理ML结构34,例如通过引起ML结构34内的相互扩散。因此,从侧42在ML结构34中形成非反射元件250。在非反射元件250的形成之后,制造工艺流程可以类似于以上讨论的各个实施例。例如,可以通过图案化的光刻胶层图案化吸收层40,使得区域71中的吸收层的图案化的部件可以用于在随后的工艺中限定IC图案。
图27示出了根据本发明的实施例的EUV掩模18的俯视图。如图27所示,位于EUV掩模18的中心的“主场”区域71可以占据EUV掩模的大量空间。如以上讨论的,图案化的吸收层部件位于区域71中,并且这些图案化的吸收层部件可以用于在使用EUV掩模18的随后的半导体制造工艺中限定IC元件。
区域71也由区域72(也称为“黑色边界”区域)周向围绕(例如,360度围绕)。如以上讨论的,本发明形成“黑色边界”区域72,从而使得其填充有相对于EUV光的非反射材料。因此,“黑色边界”区域72减少或防止可能困扰传统EUV掩模的场与场干扰问题。在图27的实施例中,非反射材料可以包括延伸穿过吸收层的沟槽(诸如以上讨论的沟槽80)。该沟槽可以用真空填充,并且因此暴露非反射LTEM衬底30,并且在一些实施例中,也可以在沟槽的侧壁上形成钝化层(例如,以上讨论的钝化层130)(例如,通过氧/氮等离子体或通过离子注入)。钝化层有助于保护EUV掩模免于不期望的暴露于污染粒子。
区域72也由包括EUV掩模18的剩余部分的区域73周向围绕。区域73可以包括还未特定图案化的吸收层的部分,因为区域73不用于在随后的制造工艺中限定IC部件。区域73可以包括一些非反射材料,但不像“黑色边界”区域72中的材料那样非反射。
图28示出了根据本发明的另一实施例的EUV掩模18的俯视图。图28的实施例类似于图27的实施例,除了EUV掩模18还包括位于区域72中的一个或多个桥300。例如,图28的实施例中示出了四个桥300,其中,不同的桥连接至区域71的四个侧的每个。桥300将区域71和73电互连在一起,以防止或减少电荷在区域71中累积。换句话说,桥300可以用作用于扩散过多电荷的导管。在一些实施例中,桥300由ML结构34中的Mo/Si多层形成。
图29示出了根据本发明的又另一实施例的EUV掩模18的俯视图。图29的实施例类似于图27的实施例,除了EUV掩模18的区域72不包括用真空填充的沟槽,而是包括嵌入在ML结构内的非反射材料,例如,以上讨论的非反射元件250。在一些实施例中,区域72中的非反射材料可以包括响应于激光束的施加而形成的ML结构的相互扩散的膜对。应该理解,嵌入的非反射材料也可以延伸穿过EUV掩模18的覆盖层和/或缓冲层。
图30是根据本发明的各个方面的实施半导体制造工艺的方法600的流程图。
方法600包括在衬底上方形成反射结构的步骤610。在一些实施例中,反射结构包括多层结构,多层结构被配置为对预定的辐射波长提供高反射率,例如高于预定阈值的反射率。
方法600包括在反射结构上方形成吸收层的步骤620。
方法600包括限定光刻掩模的第一区域的步骤630,其中,第一区域的限定包括图案化吸收层。
方法600包括限定光刻掩模的第二区域的步骤640。第二区域限定为在俯视图中围绕第一区域,并且第二区域的限定包括在第二区域中形成基本非反射材料。在一些实施例中,基本非反射材料的形成包括形成相对于EUV光基本非反射的材料。在一些实施例中,第二区域的限定包括在第二区域中蚀刻沟槽,其中,沟槽垂直延伸穿过反射结构。在一些实施例中,方法600还包括:使用氧等离子体工艺或氮等离子体工艺在沟槽的侧壁上形成钝化层。在一些实施例中,方法600还包括:使用离子注入工艺在沟槽的侧壁上形成钝化层。在一些实施例中,沟槽的蚀刻包括蚀刻穿过吸收层的沟槽。在一些实施例中,使用聚焦离子束(FIB)实施沟槽的蚀刻。在一些实施例中,第二区域的限定包括将激光施加至第二区域中的反射结构的部分。在一些实施例中,反射结构的形成包括形成多个第一层和与第一层交错的多个第二层;并且以引起第一层和第二层之间的相互扩散的方式实施激光的施加。
应该理解,可以在方法600的步骤610至640之前、期间和之后提供额外的工艺以完成光刻掩模的制造。例如,光刻掩模包括在俯视图中围绕第二区域的第三区域,并且方法600还可以包括在第二区域中形成一个或多个桥的步骤,其中,一个或多个桥将第一区域与第三区域互连。为了简单起见,此处不详细讨论额外的步骤。
图31是根据本发明的一些实施例的示出使用光刻掩模实施半导体制造的方法700的流程图。
方法700包括接收光刻掩模的步骤710。光刻掩模包括第一区域和在俯视图中围绕第一区域的第二区域。第一区域包括位于反射多层结构上方的图案化的吸收层。第二区域包括基本非反射材料。
方法700包括使用光刻掩模实施极紫外(EUV)光刻工艺的步骤720。
在一些实施例中,基本非反射材料包括位于反射多层结构中的沟槽,沟槽用真空填充并且暴露非反射LTEM衬底,并且钝化层位于沟槽的表面上。
在一些实施例中,反射多层结构包括多个交错的第一层和第二层,并且基本非反射材料包括嵌入在反射多层结构内的元件,元件包含相互扩散的第一层和第二层。
基于以上讨论,可以看出,本发明的EUV掩模提供超越传统EUV掩模的优势。然而,应该理解,其他实施例可以提供额外的优势,并且不是所有优势都必需在本文中公开,并且没有特定优势对于所有实施例都是必需的。本发明的EUV掩模的一个优势是可以基本减轻与传统EUV掩模相关的场与场干扰问题。例如,在没有本文中的“黑色边界”的情况下,EUV掩模仍然可以在主场区域的边缘处具有来自吸收层的非零EUV反射率。非零EUV反射率可能导致场与场干扰,这在晶片印刷期间不利地影响临界尺寸性能。在本发明中,通过形成“黑色边界”区域,“黑色边界”区域可以包括真空填充的沟槽(暴露非反射LTEM衬底)或作为非反射结构的嵌入的相互扩散膜对,减少了主场之间的干扰。因此,本发明可以实现临界尺寸误差的减小。另一优势在于,通过在“黑色边界”区域中的沟槽侧壁上形成钝化层,本发明减少了在使用EUV掩模的光刻工艺期间可能发生的EUV掩模对污染粒子的不期望的暴露。此外,本文讨论的形成EUV掩模的工艺容易实施且便宜,并且不会导致显着增加的制造成本。
本发明的一个方面涉及光刻掩模。光刻掩模包括衬底。反射结构设置在衬底的第一侧上方。图案化的吸收层设置在反射结构上方。光刻掩模包括第一区域和在俯视图中围绕第一区域的第二区域。图案化的吸收层位于第一区域中。基本非反射材料位于第二区域中。
在上述光刻掩模中,其中:所述反射结构被配置为反射极紫外(EUV)光;以及所述非反射材料被配置为相对于所述极紫外光非反射。
在上述光刻掩模中,其中,所述非反射材料相当于不存在所述反射结构。
在上述光刻掩模中,其中,所述非反射材料包括延伸穿过所述反射结构的沟槽。
在上述光刻掩模中,其中,所述非反射材料包括延伸穿过所述反射结构的沟槽,还包括,设置在所述沟槽的侧壁上的钝化层,其中,所述钝化层包含氧或氮。
在上述光刻掩模中,其中:所述反射结构包括与多个第二层交错的多个第一层;以及所述非反射材料包括所述第一层和所述第二层的相互扩散结构。
在上述光刻掩模中,其中:所述光刻掩模还包括围绕所述第二区域的第三区域;以及所述第一区域和所述第三区域通过位于所述第二区域中的一个或多个桥电互连。
本发明的另一方面涉及制造光刻掩模的方法。在衬底上方形成反射结构。在反射结构上方形成吸收层。限定光刻掩模的第一区域。第一区域的限定包括图案化吸收层。限定光刻掩模的第二区域。第二区域限定为在俯视图中围绕第一区域。第二区域的限定包括在第二区域中形成基本非反射材料。
在上述方法中,其中:所述反射结构的形成包括形成反射极紫外(EUV)光的结构;以及所述非反射材料的形成包括形成相对于所述极紫外光非反射的材料。
在上述方法中,其中:所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构。
在上述方法中,其中:所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构,还包括:使用氧等离子体工艺或氮等离子体工艺在所述沟槽的侧壁上形成钝化层。
在上述方法中,其中:所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构,还包括:使用离子注入工艺在所述沟槽的侧壁上形成钝化层。
在上述方法中,其中:所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构,其中,所述沟槽的蚀刻包括穿过所述吸收层蚀刻所述沟槽。
在上述方法中,其中:所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构,其中,使用聚焦离子束(FIB)实施所述沟槽的蚀刻。
在上述方法中,其中,所述第二区域的限定包括将激光施加至所述第二区域中的所述反射结构的部分。
在上述方法中,其中,所述第二区域的限定包括将激光施加至所述第二区域中的所述反射结构的部分,其中:所述反射结构的形成包括形成多个第一层和与所述第一层交错的多个第二层;以及以引起所述第一层和所述第二层之间的相互扩散的方式实施所述激光的施加。
在上述方法中,其中,所述光刻掩模包括在所述俯视图中围绕所述第二区域的第三区域,并且其中,所述方法还包括:在所述第二区域中形成一个或多个桥,其中,所述一个或多个桥将所述第一区域与所述第三区域互连。
本发明的又一方面涉及实施光刻工艺的系统。接收光刻掩模。光刻掩模包括:第一区域和在俯视图中围绕第一区域的第二区域。第一区域包括位于反射多层结构上方的图案化的吸收层。第二区域包括基本非反射材料。使用光刻掩模实施极紫外(EUV)光刻工艺。
在上述系统中,其中:所述非反射材料包括位于所述反射多层结构中的沟槽;以及钝化层位于所述沟槽的表面上。
在上述系统中,其中:所述反射多层结构包括多个交错的第一层和第二层;以及所述非反射材料包括嵌入在所述反射多层结构内的元件,所述元件包含相互扩散的第一层和第二层。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (20)

1.一种光刻掩模,包括:
衬底;
反射结构,设置在所述衬底的第一侧上方,所述反射结构包括Mo/Si膜对或者Mo/Be膜对;
图案化的吸收层,设置在所述反射结构上方;
其中,所述光刻掩模包括第一区域和在俯视图中围绕所述第一区域的第二区域;
所述图案化的吸收层位于所述第一区域中;以及
非反射材料位于所述第二区域中,所述非反射材料包括延伸穿过所述反射结构的沟槽,
钝化层,设置在所述沟槽的侧壁上,
其中,所述反射结构包括Mo/Si膜对时,所述钝化层包括SiO2、MoO3或它们的混合物,或者所述钝化层包括SiN、MoN或它们的混合物,
其中,所述反射结构包括Mo/Be膜对时,所述钝化层包括氧化钼和氧化铍、或者所述钝化层包括氮化钼和氮化铍。
2.根据权利要求1所述的光刻掩模,其中:
所述反射结构被配置为反射极紫外(EUV)光;以及
所述非反射材料被配置为相对于所述极紫外光非反射。
3.根据权利要求1所述的光刻掩模,其中,所述非反射材料相当于不存在所述反射结构。
4.根据权利要求1所述的光刻掩模,其中,所述钝化层包括SiO2、MoO3或它们的混合物。
5.根据权利要求1所述的光刻掩模,还包括,所述钝化层包括SiN、MoN或它们的混合物。
6.根据权利要求1所述的光刻掩模,其中:所述钝化层具有在从1nm至15nm的范围内的厚度。
7.根据权利要求1所述的光刻掩模,其中:
所述光刻掩模还包括围绕所述第二区域的第三区域;以及
所述第一区域和所述第三区域通过位于所述第二区域中的一个或多个桥电互连。
8.一种制造光刻掩模的方法,包括:
在衬底的上方形成反射结构,所述反射结构包括Mo/Si膜对或Mo/Be膜对;
在所述反射结构上方形成吸收层;
限定所述光刻掩模的第一区域,其中,所述第一区域的限定包括图案化所述吸收层;以及
限定所述光刻掩模的第二区域,其中,所述第二区域限定为在俯视图中围绕所述第一区域,并且其中,所述第二区域的限定包括在所述第二区域中形成非反射材料,其中,所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构,
在所述沟槽的侧壁上形成钝化层,其中,所述反射结构包括Mo/Si膜对时,所述钝化层包括SiO2、MoO3或它们的混合物,或者所述钝化层包括SiN、MoN或它们的混合物,
其中,所述反射结构包括Mo/Be膜对时,所述钝化层包括氧化钼和氧化铍、或者所述钝化层包括氮化钼和氮化铍。
9.根据权利要求8所述的方法,其中:
所述反射结构的形成包括形成反射极紫外(EUV)光的结构;以及
所述非反射材料的形成包括形成相对于所述极紫外光非反射的材料。
10.根据权利要求8所述的方法,其中,所述钝化层的厚度在从1纳米至15nm的范围内。
11.根据权利要求10所述的方法,其中,所述反射结构包括Mo/Si膜对,并且所述钝化层包括SiO2、MoO3或它们的混合物。
12.根据权利要求8所述的方法,其中,所述光刻掩模包括在所述俯视图中围绕所述第二区域的第三区域,并且其中,所述方法还包括:在所述第二区域中形成一个或多个桥,其中,所述一个或多个桥将所述第一区域与所述第三区域互连。
13.根据权利要求8所述的方法,其中,所述反射结构包括Mo/Si膜对,并且所述钝化层包括SiN、MoN或它们的混合物。
14.根据权利要求13所述的方法,其中,所述衬底包括低热膨胀材料。
15.根据权利要求14所述的方法,其中,所述低热膨胀材料包括5%至20%重量的TiO2并且具有低于1.0×10-6/℃的热膨胀系数。
16.根据权利要求13所述的方法,其中,所述沟槽的蚀刻包括穿过所述吸收层蚀刻所述沟槽。
17.一种制造光刻掩模的方法,包括:
在衬底上方形成反射结构;
在所述反射结构上方形成吸收层;
限定所述光刻掩模的第一区域,其中,所述第一区域的限定包括图案化所述吸收层;以及
限定所述光刻掩模的第二区域,其中,所述第二区域限定为在俯视图中围绕所述第一区域,并且其中,所述第二区域的限定包括在所述第二区域中形成非反射材料,
其中:所述第二区域的限定包括在所述第二区域中蚀刻沟槽,其中,所述沟槽垂直地延伸穿过所述反射结构,
其中,使用聚焦离子束(FIB)实施所述沟槽的蚀刻以改进所述沟槽的侧面,使得在使用所述光刻掩模的光刻工艺中所述改进的侧面防止或最小化将所述反射结构暴露于氢。
18.一种实施光刻工艺的方法,包括:
接收光刻掩模,所述光刻掩模包括:
第一区域和在俯视图中围绕所述第一区域的第二区域,其中,所述第一区域包括位于反射多层结构上方的图案化的吸收层,并且其中,所述第二区域包括非反射材料,所述非反射材料包括位于所述反射多层结构中的沟槽,其中,所述反射多层结构包括Mo/Si膜对或Mo/Be膜对;以及
钝化层,位于所述沟槽的侧壁上,其中,所述反射多层结构包括Mo/Si膜对时,所述钝化层包括SiO2、MoO3或它们的混合物,或者所述钝化层包括SiN、MoN或它们的混合物,并且其中,所述反射多层结构包括Mo/Be膜对时,所述钝化层包括氧化钼和氧化铍、或者所述钝化层包括氮化钼和氮化铍;
使用所述光刻掩模实施极紫外(EUV)光刻工艺,其中,在含有氢气的环境中执行所述极紫外光刻工艺。
19.根据权利要求18所述的方法,其中,对所述光刻掩模实施等离子体工艺以在所述沟槽的侧壁上形成所述钝化层。
20.根据权利要求19所述的方法,其中,所述等离子体工艺使用氧等离子体或氮等离子体。
CN201810457279.4A 2017-12-22 2018-05-14 具有黑色边界区域的光刻掩模及其制造方法 Active CN109960104B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/851,829 US10866504B2 (en) 2017-12-22 2017-12-22 Lithography mask with a black border region and method of fabricating the same
US15/851,829 2017-12-22

Publications (2)

Publication Number Publication Date
CN109960104A CN109960104A (zh) 2019-07-02
CN109960104B true CN109960104B (zh) 2023-01-17

Family

ID=66951093

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810457279.4A Active CN109960104B (zh) 2017-12-22 2018-05-14 具有黑色边界区域的光刻掩模及其制造方法

Country Status (3)

Country Link
US (3) US10866504B2 (zh)
CN (1) CN109960104B (zh)
TW (1) TWI713114B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US11048158B2 (en) 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
US10809613B2 (en) * 2018-09-25 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US11215918B2 (en) * 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
US20210033959A1 (en) * 2019-08-01 2021-02-04 Samsung Electronics Co., Ltd. Extreme ultraviolet photomask manufacturing method and semiconductor device fabrication method including the same
TWI811609B (zh) * 2020-03-30 2023-08-11 台灣積體電路製造股份有限公司 用於極紫外光微影的全反射式相位邊緣光罩
TWI768718B (zh) * 2020-04-28 2022-06-21 台灣積體電路製造股份有限公司 製造半導體元件的方法
KR20210155863A (ko) * 2020-06-16 2021-12-24 삼성전자주식회사 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법
US11402743B2 (en) * 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mask defect prevention
CN114153125B (zh) * 2020-09-08 2024-03-26 中芯国际集成电路制造(上海)有限公司 掩膜及有效减小极紫外掩膜黑边界效应的方法
KR20220123810A (ko) 2021-03-02 2022-09-13 삼성전자주식회사 반사형 포토마스크 및 그 제조 방법
JP2022135775A (ja) * 2021-03-05 2022-09-15 キオクシア株式会社 半導体装置の製造方法、積層配線構造体の製造方法、およびイオンビーム照射装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015161934A1 (en) * 2014-04-23 2015-10-29 Asml Netherlands B.V. A lithographic apparatus, radiation source, and lithographic system
CN105093817A (zh) * 2014-05-23 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种光掩模图案的修复方法
CN106154735A (zh) * 2014-10-31 2016-11-23 台湾积体电路制造股份有限公司 具有抑制带外辐射的ito吸收件的euv掩模

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040131947A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Reflective mask structure and method of formation
US7362412B2 (en) 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP4602430B2 (ja) * 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
JP4663749B2 (ja) * 2008-03-11 2011-04-06 大日本印刷株式会社 反射型マスクの検査方法および製造方法
WO2010026998A1 (ja) 2008-09-05 2010-03-11 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法
TWI450324B (zh) 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8916482B2 (en) * 2012-04-02 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a lithography mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US20130255717A1 (en) 2012-04-03 2013-10-03 Kla-Tencor Corporation System and method for cleaning surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
FR2990743B1 (fr) 2012-05-15 2014-05-02 Valeo Sys Controle Moteur Sas Vanne de controle moteur a etancheite amelioree
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US9138785B2 (en) 2012-07-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced cleaning and inspection
US9118001B2 (en) * 2012-07-11 2015-08-25 Varian Semiconductor Equipment Associates, Inc. Techniques for treating sidewalls of patterned structures using angled ion treatment
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8988652B2 (en) * 2012-10-18 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for ultraviolet (UV) patterning with reduced outgassing
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2016043147A1 (ja) 2014-09-17 2016-03-24 Hoya株式会社 反射型マスクブランク及びその製造方法、反射型マスク及びその製造方法、並びに半導体装置の製造方法
US9709884B2 (en) * 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
JP6374360B2 (ja) 2015-08-04 2018-08-15 東芝メモリ株式会社 Euvマスク及びその製造方法
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015161934A1 (en) * 2014-04-23 2015-10-29 Asml Netherlands B.V. A lithographic apparatus, radiation source, and lithographic system
CN105093817A (zh) * 2014-05-23 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种光掩模图案的修复方法
CN106154735A (zh) * 2014-10-31 2016-11-23 台湾积体电路制造股份有限公司 具有抑制带外辐射的ito吸收件的euv掩模

Also Published As

Publication number Publication date
CN109960104A (zh) 2019-07-02
US11029593B2 (en) 2021-06-08
US20200050098A1 (en) 2020-02-13
US20190196322A1 (en) 2019-06-27
US20210294203A1 (en) 2021-09-23
TWI713114B (zh) 2020-12-11
TW201929087A (zh) 2019-07-16
US11852966B2 (en) 2023-12-26
US10866504B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
CN109960104B (zh) 具有黑色边界区域的光刻掩模及其制造方法
US11086227B2 (en) Method to mitigate defect printability for ID pattern
TWI592737B (zh) 微影遮罩及其製造方法及晶圓的製造方法
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
KR101722855B1 (ko) Euv 마스크용 펠리클 및 그 제조
US11073755B2 (en) Mask with multilayer structure and manufacturing method by using the same
US20230384663A1 (en) EUV Lithography Mask With A Porous Reflective Multilayer Structure
KR102464044B1 (ko) 비정질 캡핑 층을 갖는 리소그래피 마스크
TWI754500B (zh) 反射式光罩坯體及其製造方法
US11435660B2 (en) Photomask and method of fabricating a photomask
US20170075216A1 (en) Novel photoresist having sensitizer bonded to acid generator
JP2004172339A (ja) 極短紫外光の露光用マスク
CN113050361A (zh) 制造半导体元件的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant