KR20210155863A - 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법 - Google Patents

극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR20210155863A
KR20210155863A KR1020200073145A KR20200073145A KR20210155863A KR 20210155863 A KR20210155863 A KR 20210155863A KR 1020200073145 A KR1020200073145 A KR 1020200073145A KR 20200073145 A KR20200073145 A KR 20200073145A KR 20210155863 A KR20210155863 A KR 20210155863A
Authority
KR
South Korea
Prior art keywords
pattern
absorber
extreme ultraviolet
phase shift
shift mask
Prior art date
Application number
KR1020200073145A
Other languages
English (en)
Inventor
서환석
김성수
정창영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200073145A priority Critical patent/KR20210155863A/ko
Priority to TW110102823A priority patent/TWI830983B/zh
Priority to US17/328,008 priority patent/US20210389662A1/en
Priority to CN202110660102.6A priority patent/CN113805427A/zh
Publication of KR20210155863A publication Critical patent/KR20210155863A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Abstract

극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법을 제공한다. 극자외선 리소그래피용 위상 반전 마스크는 기판; 상기 기판 상의 반사층; 상기 반사층 상에 배치되는 캐핑막; 상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 버퍼 패턴; 및 상기 버퍼 패턴 상의 흡수체 패턴을 포함하되, 상기 버퍼 패턴은 상기 흡수체 패턴 및 상기 캐핑막에 대하여 식각 선택성을 가지는 물질을 포함하고, 상기 흡수체 패턴의 굴절률은 상기 버퍼 패턴의 굴절률보다 작고, 상기 흡수체 패턴의 두께는 상기 버퍼 패턴의 두께보다 크다.

Description

극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법{Phase shift mask for extreme ultraviolet lithography and method of forming a semiconductor device using the same}
본 발명은 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법에 관한 것이다.
반도체 장치의 크기 및 디자인 룰(design rule)이 점차 축소됨에 따라, 더욱 작은 크기의 패턴들을 형성하는 기술이 요구되고 있다. 이러한 기술적 요구를 충족시키기 위해, 리소그래피 공정에서 사용되는 광원의 파장이 점점 짧아지고 있다. 예를 들어, 리소그래피 공정에서 사용되는 광원은 g-line(436nm), i-line(365nm), KrF 레이저(248nm), 및 ArF 레이저(193nm)로 점점 짧아지고 있다. 최근에는 13.5nm의 파장을 갖는 극자외선을 광원으로 사용하는 극자외선 리소그래피가 제안되고 있다.
극자외선은 대부분의 굴절 광학 매질들(refractive optical materials)에서 흡수되기 때문에, 극자외선 리소그래피는 일반적으로 굴절 광학계가 아닌 반사 광학계(reflective optical system)를 이용한다.
본 발명이 이루고자 하는 일 기술적 과제는 고해상도의 이미지를 구현할 수 있는 극자외선 리소그래피용 위상 반전 마스크를 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 생산성을 향상시킬 수 있는 반도체 소자의 제조 방법을 제공하는 데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 개념에 따른 극자외선 리소그래피용 위상 반전 마스크는 기판; 상기 기판 상의 반사층; 상기 반사층 상에 배치되는 캐핑막; 상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 버퍼 패턴; 및 상기 버퍼 패턴 상의 흡수체 패턴을 포함하되, 상기 버퍼 패턴은 상기 흡수체 패턴 및 상기 캐핑막에 대하여 식각 선택성을 가지는 물질을 포함하고, 상기 흡수체 패턴의 굴절률은 상기 버퍼 패턴의 굴절률보다 작고, 상기 흡수체 패턴의 두께는 상기 버퍼 패턴의 두께보다 크다.
본 발명의 일 양태에 따른 극자외선 리소그래피용 위상 반전 마스크는 도전층 상의 기판; 상기 기판 상의 반사층; 상기 반사층 상에 배치되는 캐핑막; 및 상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 흡수체 패턴을 포함하되, 상기 흡수체 패턴은 질소와 크롬을 포함하며, 상기 흡수체 패턴 내에서 상기 질소의 함량은 5~70 at.%이다.
본 발명의 다른 양태에 따른 극자외선 리소그래피용 위상 반전 마스크는 기판; 상기 기판 상의 반사층; 상기 반사층 상에 배치되는 캐핑막; 상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 버퍼 패턴; 및 상기 버퍼 패턴 상의 흡수체 패턴을 포함하되, 상기 버퍼 패턴은 상기 흡수체 패턴과 식각 선택성을 가지는 물질을 포함하고, 상기 흡수체 패턴은 질소와 크롬을 포함하고, 상기 흡수체 패턴 내에서 상기 질소의 함량은 상기 버퍼 패턴으로부터 거리에 따라 불연속적으로 또는 점진적으로 변한다.
상기 다른 기술적 과제를 달성하기 위한 본 발명에 따른 반도체 소자의 제조 방법은, 웨이퍼 상에 식각 대상막과 포토레지스트막을 차례로 적층하는 단계; 및 극자외선 리소그래피용 위상 반전 마스크을 이용하여 상기 포토레지스트막에 대해 노광공정을 진행하는 단계를 포함하되, 상기 극자외선 리소그래피용 위상 반전 마스크는: 기판; 상기 기판 상의 반사층; 상기 반사층 상에 배치되는 캐핑막; 상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 버퍼 패턴; 및 상기 버퍼 패턴 상의 흡수체 패턴을 포함하되, 상기 버퍼 패턴은 상기 흡수체 패턴 및 상기 캐핑막에 대하여 식각 선택성을 가지는 물질을 포함하고, 상기 흡수체 패턴의 굴절률은 상기 버퍼 패턴의 굴절률보다 작고, 상기 흡수체 패턴의 두께는 상기 버퍼 패턴의 두께보다 크다.
본 발명의 개념에 따른 극자외선 리소그래피용 위상 반전 마스크는 흡수체 패턴으로 질화크롬을 채택하여 고해상도의 이미지를 구현할 수 있다. 흡수체 패턴이 버퍼 패턴보다 작은 굴절률과 두꺼운 두께를 가져, 극자외선의 위상이 쉬프트 되는 정도가 증가할 수 있다. 이에 따라, 상기 위상 반전 마스크를 이용하여 고해상도의 이미지를 구현하는 것이 용이할 수 있다. 또한 버퍼막을 실리콘함유막으로 사용할 경우, 루테늄으로 이루어지는 캐핑막을 생략할 수 있어, 구조 및 공정을 단순화시킬 수 있다. 이로써 고해상도의 이미지를 구현할 수 있는 극자외선 리소그래피용 위상 반전 마스크가 제공될 수 있다.
본 발명의 개념에 따른 반도체 소자의 제조 방법은 상기 극자외선 리소그래피용 위상 반전 마스크를 사용하여 공정 불량을 줄이고 생산성을 향상시킬 수 있다.
본 발명의 다른 개념에 따른 극자외선 리소그래피용 위상반전 마스크의 제조 방법은 버퍼과 마스크 패턴을 흡수체 패턴 및 캐핑막과 우수한 식각 선택성을 가지는 물질로 채택하여, 공정 불량을 줄이고 생산성을 향상시킬 수 있다.
도 1은 본 발명의 일부 실시예들에 따른 위상 반전 마스크를 이용하는 극자외선 리소그래피 장치를 나타내는 개념도이다.
도 2는 본 발명의 일부 실시예들에 따른 위상 반전 마스크를 개략적으로 나타내는 평면도이다.
도 3은 본 발명의 일부 실시예들에 따른 위상 반전 마스크를 개략적으로 나타내는 단면도이다.
도 4a 내지 도 4c는 본 발명의 실시예들에 따른 흡수체 패턴의 세부 구조를 나타낸다.
도 5는 본 발명의 실시예들에 따른 위상반전 마스크의 일부분을 나타내는 도면이다.
도 6a는 본 발명의 실시예들에 따른 위상 반전 마스크의 흡수체 패턴의 두께에 따른 NILS 값을 나타내는 그래프이다.
도 6b는 본 발명의 실시예들에 따른 위상 반전 마스크의 흡수체 패턴의 두께에 따른 NILS 값을 나타내는 그래프이다.
도 6c는 본 발명의 실시예와 비교예에 따른 위상 반전 마스크들에서, 도즈량에 따른 NILS 값을 나타내는 그래프이다.
도 7a 내지 도 7c는 본 발명의 실시예들에 따라 도 3의 위상 반전 마스크를 제조하는 과정들을 나타내는 단면도들이다.
도 8은 본 발명의 실시예들에 따른 위상반전 마스크의 단면도이다.
도 9는 도 8의 위상반전 마스크를 제조하는 과정을 나타내는 단면도이다.
이하, 첨부한 도면들을 참조하여 본 발명의 실시예들을 상세히 설명한다.
도 1은 본 발명의 일부 실시예들에 따른 위상 반전 마스크를 이용하는 극자외선(extreme ultraviolet; EUV) 리소그래피 장치를 나타내는 개념도이다.
도 1을 참조하면, 극자외선 리소그래피 장치(1000)는 광원부(10, optical source unit), 집광부(20, condenser unit), 투영부(40, projection unit), 및 제어부(90)를 포함할 수 있다.
상기 광원부(10)는 극자외선(일 예로, 약 13.5nm의 파장을 갖는 광)을 발생시킬 수 있다. 상기 집광부(20)는 상기 광원부(10)로부터 발생된 극자외선(11)이 위상 반전 마스크(500)로 조사되도록 상기 극자외선(11)을 가이드할 수 있다. 상기 집광부(20)는 집광 광학계(22, condenser optics, 일 예로, 렌즈 및/또는 거울)를 포함할 수 있다. 상기 집광 광학계(22)는 상기 극자외선(11)을 모으고 반사함으로써 상기 극자외선(11)을 상기 위상 반전 마스크(500)로 가이드 할 수 있다. 상기 극자외선(11)은 상기 집광부(20)를 통해 상기 위상 반전 마스크(500)에 경사지게 입사될 수 있다.
상기 위상 반전 마스크(500)는 마스크 스테이지(32) 상에 제공될 수 있고, 상기 마스크 스테이지(32)는 상기 위상 반전 마스크(500)를 이동시키도록 구성될 수 있다. 상기 광원부(10) 및 상기 마스크 스테이지(32)는 제어부(90)에 의해 제어될 수 있다.
상기 위상 반전 마스크(500)로 입사된 상기 극자외선(11)은 상기 위상 반전 마스크(500)로부터 반사되어 투영부(40)로 입사될 수 있다. 상기 투영부(40)는 상기 위상 반전 마스크(500)의 마스크 패턴 이미지를 웨이퍼(50)로 투영시킬 수 있다. 상기 투영부(40)는 투영 광학계(42, projection optics)(예를 들어, 렌즈 및/또는 거울)를 포함할 수 있다. 상기 투영 광학계(42)는, 상기 위상 반전 마스크(500)로부터 반사된 극자외선(11)을 이용하여, 상기 위상 반전 마스크(500)의 상기 마스크 패턴 이미지를 소정의 배율(예를 들어, 4배, 6배, 또는 8배)로 축소할 수 있고 상기 웨이퍼(50)로 투영시킬 수 있다. 상기 웨이퍼(50) 상에는 식각 대상막(1)과 포토레지스트막(2)이 차례로 적층될 수 있다. 상기 극자외선(11)이 상기 투영부(40)를 통과하여 상기 웨이퍼(50) 상으로 조사됨에 따라, 상기 위상 반전 마스크(500)의 마스크 패턴 이미지에 대응하는 패턴들이 상기 웨이퍼(50) 상의 포토레지스트막에 인쇄될 수 있다. 이러한 노광 공정 후에 현상 공정을 거쳐 포토레지스트 패턴이 형성될 수 있다. 상기 포토레지스트 패턴을 이용하여 상기 식각 대상막(1)을 식각할 수 있다. 상기 웨이퍼(50)는 웨이퍼 스테이지(52) 상에 로드될 수 있고, 상기 웨이퍼 스테이지(52)는 상기 웨이퍼(50) 내 노광 영역을 변경하기 위해 상기 웨이퍼(50)를 이동시키도록 구성될 수 있다. 상기 위상 반전 마스크(500)를 이용한 노광 공정은 진공 상태에서 진행될 수 있다.
도 2는 본 발명의 일부 실시예들에 따른 위상 반전 마스크를 개략적으로 나타내는 평면도이다. 도 3은 본 발명의 일부 실시예들에 따른 위상 반전 마스크를 개략적으로 나타내는 단면도이다.
도 2 및 도 3을 참조하면, 위상 반전 마스크(500)는 기판(100), 반사층(120), 캐핑막(130), 버퍼 패턴들(140), 흡수체 패턴들(150), 및 하부 도전층(110)을 포함할 수 있다. 상기 위상 반전 마스크(500)는 반사형 attenuated 위상 반전 마스크일 수 있다.
상기 기판(100)은 낮은 열팽창 계수를 갖는 물질을 포함할 수 있다. 일 예로, 상기 기판(100)은 글래스(glass) 또는 실리콘(Si)을 포함할 수 있다. 상기 기판(100)은 웨이퍼로 전사될 패턴들이 배치되는 패턴 영역(PA), 및 상기 패턴 영역(PA) 주위의 블랙 보더(black border) 영역(BA)을 포함할 수 있다. 상기 패턴 영역(PA)은 메인 패턴 영역(102) 및 서브 패턴 영역(104)을 포함할 수 있다. 상기 메인 패턴 영역(102)은 웨이퍼(도 1의 50)의 칩 영역 상에 집적회로를 구성하는 메인 패턴들을 전사하기 위한 영역일 수 있고, 상기 서브 패턴 영역(104)은 상기 웨이퍼(도 1의 50)의 스크라이브 라인 영역 상에 보조 패턴들을 전사하기 위한 영역일 수 있다. 상기 블랙 보더 영역(BA)은 평면적 관점에서 상기 패턴 영역(PA)을 둘러쌀 수 있다. 도시되지 않았지만, 도 1의 리소그래피 장치(1000) 내에서 상기 위상 반전 마스크(500)의 정렬을 위한 정렬 마크 및/또는 상기 위상 반전 마스크(500)의 식별을 위한 식별 마크 등이 상기 블랙 보더 영역(BA) 상에 배치될 수 있다.
상기 반사층(120)은 상기 기판(100)의 제1 면(100a) 상에 배치될 수 있다. 상기 반사층(120)은 상기 패턴 영역(PA) 상에 배치될 수 있고 상기 블랙 보더 영역(BA) 상으로 연장될 수 있다. 상기 반사층(120)은 상기 반사층(120)으로 입사되는 광(일 예로, 도 1의 상기 극자외선(11))을 반사할 수 있다. 상기 반사층(120)은 브래그 반사체(Bragg reflector)를 포함할 수 있다. 일 예로, 상기 반사층(120)은 저굴절률층들(122) 및 고굴절률층들(124)이 교대로 그리고 반복적으로 적층된 다층 구조를 포함할 수 있다. 일 예로, 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)은 각각 약 40회 내지 약 60회 적층될 수 있다. 상기 저굴절률층들(122)은 일 예로, 몰리브덴(Mo)을 포함할 수 있고, 상기 고굴절률층들(124)은 일 예로 실리콘(Si)을 포함할 수 있다. 일부 실시예들에 따르면, 최하층의 저굴절률층(122)이 상기 반사층(120)의 최하부에 배치될 수 있고, 최상층의 고굴절률층(124)이 상기 반사층(120)의 최상부에 배치될 수 있다.
일부 실시예들에 따르면, 상기 반사층(120)은 제 1 부분(S1)과 제 2 부분(S2)을 가질 수 있다. 상기 제 1 부분(S1)은 상기 패턴 영역(PA) 상에서 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)이 교대로 적층된 다층 구조를 가질 수 있고, 상기 제 2 부분(S2)은 상기 블랙 보더 영역(BA) 상에서 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)이 인터믹싱된(intermixed) 구조를 가질 수 있다. 상기 반사층(120)의 제 2 부분(S2)으로 입사된 광(일 예로, 도 1의 상기 극자외선(11))의 반사도가 감소되어 블랙 보더 영역으로 기능할 수 있다.
하부 도전층(110)은, 상기 기판(100)의 제1 면(100a)에 대향하는, 상기 기판(100)의 제2 면(100b) 상에 배치될 수 있다. 상기 하부 도전층(110)은 상기 기판(100)을 사이에 두고 상기 반사층(120)으로부터 이격될 수 있다. 상기 하부 도전층(110)은 도전 물질(일 예로, CrN)을 포함할 수 있다. 상기 하부 도전층(110)은 정전 척의 동작을 위한 것으로, 극자외선 리소그래피용 위상 반전 마스크를 마스크 스테이지에 탑재하는데 이용될 수 있다.
상기 캐핑막(130)은 상기 기판(100)의 제1 면(100a) 상에 상기 반사층(120) 상에 배치될 수 있다. 상기 반사층(120)은 상기 캐핑막(130)과 상기 기판(100) 사이에 개재될 수 있다. 상기 캐핑막(130)은 상기 패턴 영역(PA) 상에 배치될 수 있고 상기 블랙 보더 영역(BA) 상으로 연장될 수 있다. 상기 캐핑막(130)은 상기 반사층(120)을 보호하고 상기 반사층(120)의 표면이 산화되는 것을 방지할 수 있다. 상기 캐핑막(130)은 금속(일 예로, 루테늄(Ru))을 포함할 수 있다.
상기 흡수체 패턴들(150)은 상기 기판(100)의 제1 면(100a) 상에 상기 캐핑막(130) 상에 배치될 수 있다. 상기 캐핑막(130)은 상기 흡수체 패턴들(150)과 상기 반사층(120) 사이에 개재될 수 있다. 상기 흡수체 패턴들(150)은 상기 패턴 영역(PA) 및 상기 블랙 보더 영역(BA) 상에 배치될 수 있고, 상기 흡수체 패턴들(150) 사이의 개구부들(150P)이 상기 캐핑막(130)의 상면을 노출할 수 있다.
상기 버퍼 패턴들(140)은 상기 기판(100)의 제1 면(100a) 상에 상기 흡수체 패턴들(150)과 상기 캐핑막(130) 사이에 배치될 수 있다. 상기 버퍼 패턴들(140)의 각각은 상기 흡수체 패턴들(150)의 각각과 상기 캐핑막(130) 사이에 개재될 수 있다. 상기 개구부들(150P)은 상기 버퍼 패턴들(140) 사이로 연장되어 상기 캐핑막(130)의 상면을 노출할 수 있다.
상기 버퍼 패턴들(140)은 상기 캐핑막(130) 및 상기 하부 흡수 패턴(152)과 다른 물질을 포함할 수 있다. 상기 버퍼 패턴들(140)은 상기 캐핑막(130) 및 상기 하부 흡수 패턴(152)에 대해 식각 선택성을 갖는 물질을 포함할 수 있다. 상기 버퍼 패턴(140)은 식각 저지 패턴으로도 명명될 수 있다. 상기 버퍼 패턴들(140)은 실리콘 함유막, 금속 함유막, 금속질화물, 또는 금속산화물을 포함할 수 있다. 상기 버퍼 패턴들(140)은 Si, SiN, SiO2, SiON, TaBO, TaO, TaON, TaN, TaBN, TiN, Nb, 및 Ta 중 선택되는 적어도 하나를 포함할 수 있다.
상기 흡수체 패턴(150)의 상부면은 어떠한 것으로도 덮이지 않고 노출될 수 있다. 상기 흡수체 패턴(150)은 위상 반전 패턴으로도 명명될 수 있다. 상기 흡수체 패턴(150)은 질소(원자들)와 크롬(원자들)을 포함할 수 있다. 상기 흡수체 패턴(150)은 산소(원자들)를 더 포함할 수 있다. 상기 흡수체 패턴(150)은 질화 크롬 및 질화산화크롬 중에 적어도 하나를 포함할 수 있다. 상기 흡수체 패턴(150) 내의 질소 함량, 밀도 및 증착 조건에 따라 상기 흡수체 패턴(150)의 굴절률과 흡광 계수가 달라질 수 있다. 바람직하게는 상기 흡수체 패턴(150) 내에서 질소(원자들)의 함량은 5~70at.%일 수 있다. 이때 극자외선에 대하여 상기 흡수체 패턴(150)의 굴절률은 0.925~0.935이고, 흡광 계수는 0.03~0.04일 수 있다.
상기 흡수체 패턴(150) 내에서 상기 캐핑막(130)의 상부면으로부터 거리에 따른 질소의 함량은 단계적으로, 불연속적으로, 점진적으로 또는 연속적으로 변할 수 있다. 상기 흡수체 패턴(150)은 질소와 크롬을 포함하는 전체적으로 균일한 조성을 가지는 단일막 형태를 가질 수 있다. 또는 상기 흡수체 패턴(150)은 질소와 크롬의 조성이 다른 2층 이상의 다중막 형태를 가질 수 있다. 이러한 다중막 구조는 아래 도 4a 및 도 4b를 참조하여 설명될 수 있다.
도 4a 내지 도 4c는 본 발명의 실시예들에 따른 흡수체 패턴의 세부 구조를 나타낸다.
도 4a를 참조하면, 상기 흡수체 패턴(150)은 제 1 흡수체 부분(150a)과 이 위의 제 2 흡수체 부분(150b)을 포함할 수 있다. 제 1 흡수체 부분(150a)과 제 2 흡수체 부분(150b)은 질소와 크롬을 포함한다. 상기 제 1 흡수체 부분(150a)의 질소의 함량은 상기 제 2 흡수체 부분(150b)의 질소의 함량과 다를 수 있다. 예를 들면 상기 제 1 흡수체 부분(150a)에서 질소의 함량은 상기 제 2 흡수체 부분(150b)에서 질소의 함량보다 작을 수 있다.
도 4b를 참조하면, 상기 흡수체 패턴(150)은 제 2 흡수체 부분(150b) 상의 제 3 흡수체 부분(150c)을 더 포함할 수 있다. 상기 제 1 내지 제 3 흡수체 부분들(150a, 150b, 150c)은 질소와 크롬을 포함한다. 상기 제 3 흡수체 부분(150c)의 질소의 함량은 제 1 흡수체 부분(150a) 보다는 크고 제 2 흡수체 부분(150b) 보다는 작을 수 있다. 상기 제 1 내지 제 3 흡수체 부분들(150a, 150b, 150c) 간의 경계부분이 보일 수도, 안보일 수도 있다. 도 4a 및 도 4b에서 상기 제 1 내지 제 3 흡수체 부분들(150a, 150b, 150c) 중 어느 하나는 산소를 더 포함할 수 있다. 제 1 내지 제 3 흡수체 부분들(150a, 150b, 150c)은 각각 제 1 내지 제 3 서브 흡수체막들로도 명명될 수 있다. 즉, 상기 흡수체 패턴(150)은 차례로 적층된 제 1 내지 제 3 서브 흡수체막들(150a, 150b, 150c)을 포함하고, 상기 제 1 내지 제 3 서브 흡수체막들(150a, 150b, 150c) 내에서 질소의 함량은 서로 다를 수 있다.
또는 도 4c처럼, 상기 흡수체 패턴(150) 내에서 상기 캐핑막(130)의 상부면으로부터 거리가 증가할수록 질소 함량이 점진적으로 연속적으로 증가할 수 있다. 또는 반대로 상기 흡수체 패턴(150) 내에서 상기 캐핑막(130)의 상부면으로부터 거리가 증가할수록 질소 함량이 점진적으로 연속적으로 감소할 수 있다.
도 5는 본 발명의 실시예들에 따른 위상반전 마스크의 일부분을 나타내는 도면이다.
도 5를 참조하면, 상기 캐핑막(130)은 상기 기판(100)의 제1 면(100a)에 수직한 방향에 따른 제 1 두께(T1)를 가질 수 있다. 상기 버퍼 패턴(140)은 상기 기판(100)의 제1 면(100a)에 수직한 방향에 따른 제 2 두께(T2)를 가질 수 있다. 상기 흡수체 패턴(150)은 상기 기판(100)의 제1 면(100a)에 수직한 방향에 따른 제 3 두께(T3)를 가질 수 있다. 상기 제 3 두께(T3)은 상기 제 1 두께(T1) 및 상기 제 2 두께(T2) 보다 클 수 있다. 상기 흡수체 패턴(150)은 진공의 굴절률(n1)인 1 보다 작은 굴절률(n2)을 가질 수 있다. 상기 흡수체 패턴(150)의 굴절률은 상기 버퍼 패턴(140)의 굴절률보다 작을 수 있다. 상기 흡수체 패턴(150)의 흡광 계수는 상기 캐핑막(130) 및 상기 버퍼 패턴(140)의 흡광 계수들보다 클 수 있다.
상기 흡수체 패턴(150)이 질화크롬으로 이루어지며, 예를 들면 상기 질소의 함량이 약 10 at.%인 경우, Fresnel equation으로 계산한 질화 크롬의 굴절률 실험값(n)은 약 0.927이고, 흡광계수는 약 0.039이다. 상기 버퍼 패턴(140)이 실리콘으로 이루어진 경우 굴절률이 약 1일 수 있다. 상기 버퍼 패턴(140)이 TaBN으로 이루어진 경우 굴절률이 약 0.949일 수 있다.
도 5를 참조하면, 상기 기판(100)의 제 1 면(100a)을 향하여 제 1 극자외선(IL1)과 제 2 극자외선(IL2)이 입사될 수 있다. 상기 제 1 극자외선(IL1)과 제 2 극자외선(IL2)은 예를 들면 제 1 파장(λ1, 예를 들면 약 13.5nm)을 가질 수 있다. 상기 제 1 극자외선(IL1)은 상기 개구부(150P)를 통해 입사되고 상기 반사층(120)의 표면에서 반사되어 제 1 반사된 극자외선(RL1)이 될 수 있다. 상기 제 2 극자외선(IL2)은 상기 흡수체 패턴(150)을 통해 입사되고 상기 반사층(120)의 표면에서 반사되어 제 2 반사된 극자외선(RL2)이 될 수 있다. 상기 제 2 극자외선(IL2)의 일부는 상기 흡수체 패턴(150) 내에서 흡수되기에 제 2 반사된 극자외선(RL2)의 진폭은 상기 제 2 극자외선(IL2)의 진폭보다 작아질 수 있다.
상기 흡수체 패턴(150)은 상기 제 2 극자외선(IL2)의 일부를 흡수할 수 있다. 이에 따라, 상기 흡수체 패턴(150)으로 입사된 제 2 극자외선(IL2)의 반사도(reflectance)는 상기 개구부들(150P)로 입사된 상기 제 1 극자외선(IL1)의 반사도보다 작을 수 있다. 상기 흡수체 패턴(150)로 입사된 극자외선(IL1)의 반사도는 상기 흡수체 패턴(150)을 구성하는 물질의 흡광 계수(k), 및 상기 흡수체 패턴(150)의 두께에 따라 달라질 수 있다. 일 예로, 상기 흡수체 패턴(150)을 구성하는 물질의 흡광 계수(k)가 작을수록, 그리고, 상기 흡수체 패턴(150)의 두께가 작을수록, 상기 흡수체 패턴(150)로 입사된 상기 제 2 극자외선(IL2)의 반사도는 커질 수 있다.
상기 흡수체 패턴(150)은 상기 제 2 반사된 극자외선(RL2)의 위상을 쉬프트(shift) 시킬 수 있다. 매질의 굴절률이 작아질수록 이 매질을 통과하는 빛의 파장은 커질 수 있다. 상기 흡수체 패턴(150)의 굴절률이 진공의 굴절률보다 작아, 진공에서 상기 제 2 극자외선(IL2)의 제 1 파장(λ1)은 상기 흡수체 패턴(150) 내에서 제 2 파장(λ2)으로 커질 수 있다. 상기 흡수체 패턴(150) 내에서 이러한 파장의 변화에 따라, 상기 흡수체 패턴(150)을 통과해 나오는 상기 제 2 반사된 극자외선(RL2)의 위상은 상기 제 1 반사된 극자외선(RL1)의 위상과 차이가 날 수 있다. 상기 흡수체 패턴(150)을 구성하는 물질의 굴절율이 작을수록, 그리고, 상기 흡수체 패턴(150)의 두께가 클수록, 이러한 위상 차이는 커질 수 있다.
상기 캐핑막(130)의 제 1 두께(T1)와 상기 버퍼 패턴(140)의 제 2 두께(T2)는 상기 제 1 파장(λ1) 보다 작을 수 있다. 이로써 상기 캐핑막(130)과 상기 버퍼 패턴(140)은 상기 제 2 반사된 극자외선(RL2)의 위상 쉬프트에 미치는 영향이 상대적으로 약할 수 있다. 상기 흡수체 패턴(150)의 제 3 두께(T3)는 상기 제 1 파장(λ1) 보다 클 수 있다. 이로써, 상기 흡수체 패턴(150)은 상기 제 2 반사된 극자외선(RL2)의 위상 쉬프트(shift)에 지배적인 영향을 미칠 수 있다.
바람직하게는 상기 제 1 두께(T1)와 상기 제 2 두께(T2)는 상기 극자외선(IL1, IL2)의 제 1 파장(λ1)의 약 0.29~0.75배일 수 있다. 상기 제 3 두께(T3)는 상기 극자외선(IL1, IL2)의 제 1 파장(λ1)의 약 2.96~4.08배일 수 있다. 상기 극자외선(IL1, IL2)의 제 1 파장(λ1)이 약 13.5nm일 때, 바람직하게는 상기 제 1 두께(T1)와 상기 제 2 두께(T2)는 각각 독립적으로 4~10nm일 수 있고, 상기 제 3 두께(T3)는 40~55nm일 수 있다. 상기 흡수체 패턴(150)이 이러한 두께(T3)를 가져, 상기 제 2 반사된 극자외선(RL2)은 상기 제 1 반사된 극자외선(RL1)과 약 170°~235°의 위상차를 가질 수 있다.
이러한 위상 차이에 의해 상기 제 2 반사된 극자외선(RL2)과 상기 제 1 반사된 극자외선(RL1) 사이에 상쇄 간섭이 발생될 수 있다. 상기 위상 반전 마스크(500)를 이용하는 노광 공정이 도 1의 웨이퍼(50) 상에 형성된 포토 레지스트 막 상에 수행되는 경우, 상기 반사된 극자외선들(RL1, RL2) 사이의 상쇄 간섭에 의해, 상기 흡수체 패턴들(150)에 대응하는 상기 포토 레지스트 막의 영역들에 조사되는 극자외선의 세기가 작아질 수 있다. 즉, 상기 포토 레지스트 막 상에 투영되는 이미지는 높은 NILS(Normalized image log slope)을 가질 수 있고, 이에 따라, 상기 포토 레지스트 막 상에 고해상도의 이미지를 구현하는 것이 용이할 수 있다.
도 6a는 본 발명의 실시예들에 따른 위상 반전 마스크의 흡수체 패턴의 두께에 따른 NILS 값을 나타내는 그래프이다.
도 6a를 참조하면, 캐핑막(130)으로 4nm의 루테늄을, 버퍼 패턴(140)으로 4nm의 TaBO을, 그리고 흡수체 패턴(150)으로 질화크롬(CrN)을 (여기서 질소와 크롬의 함량은 각각 흡수체 패턴(150) 내에서 전체적으로 균일하게 약 10 at.%로 고정함) 채택한 구조에서, 흡수체 패턴(150)이 Pitch 36nm(1x)의 Line and Space (L/S) 패턴(즉, 라인 패턴의 폭이 18nm이고, 라인 패턴들 간의 간격이 18nm) 형상인 경우와, 같은 Pitch의 컨택홀 구조의 패턴 형상인 경우에서, 흡수체 패턴(150)의 두께에 따른 NILS값을 시뮬레이션하여 도 6a에 나타내었다. 도 6a에서, L/S 패턴과 홀구조 패턴에서 모두, 질화크롬(CrN)으로 이루어지는 흡수체 패턴의 두께가 약 48.5nm일 때, 최대 NILS값(L/S 패턴인 경우 약 2.75)을 나타내었다. 또한 흡수체 패턴의 두께가 약 42nm인 경우에도 매우 높은 NILS값(L/S 패턴인 경우 약 2.70)을 나타내었다. 전체적으로 볼 때, 흡수체 패턴(150)의 두께가 약 40~55nm인 경우 우수한 NILS값(L/S 패턴인 경우 약 2.35~2.75)을 나타내었다. 따라서 도 6a를 통해, 질화크롬(CrN)으로 이루어지는 흡수체 패턴(150)의 바람직한 두께는 약 40~55nm임을 알 수 있다.
도 6b는 본 발명의 실시예들에 따른 위상 반전 마스크의 흡수체 패턴의 두께에 따른 NILS 값을 나타내는 그래프이다.
도 6b를 참조하면, 도 6a와 같은 조건에서 L/S 패턴 형태를 가지는 흡수체 패턴의 두께에 따른 위상 반전 마스크에 있어서 투과율과 위상차를 시뮬레이션하여 도 6a에 나타내었다. 여기서 투과율(Transmittance)는 반사층(120)과의 상대 반사율(즉, Transmittance=RABS/RML, RABS는 흡수체 패턴(150)의 반사율, RML은 반사층(120)의 반사율)일 수 있다. 도 6b에서 전체적으로, 흡수체 패턴의 두께가 커질수록 투과율은 감소하는 반면에 위상차는 증가할 수 있다. 흡수체 패턴(150)의 두께가 약 40~55nm인 경우 위상차는 약 170°~235°이고, 투과율은 약 0.8~7.5%일 수 있다. 질화크롬(CrN)으로 이루어지는 흡수체 패턴의 두께가 약 48.5nm일 때, 위상차는 약 216°이고, 투과율은 약 3.5%이기에, 흡수체 패턴의 두께가 약 48.5nm인 경우가 최적 조건으로 판단된다. 이러한 최적 조건을 가지는 위상 반전 마스크(500)는 흡수체 패턴을 루테늄이나 몰리브덴으로 적용한 경우 발생하는 부돌출부(sidelobe) 문제를 억제할 수 있다. 이로써 상기 위상 반전 마스크(500)는 로직 소자를 포함한 모든 반도체 소자의 제조 공정에 적용할 수 있다.
도 6c는 본 발명의 실시예와 비교예에 따른 위상 반전 마스크들에서, 도즈량에 따른 NILS 값을 나타내는 그래프이다.
도 6c를 참조하면, 본 발명의 실시예에 따른 위상 반전 마스크로 도 6a처럼, 캐핑막(130)으로 4nm의 루테늄을, 버퍼 패턴(140)으로 4nm의 TaBO를, 그리고 흡수체 패턴(150)으로 48.5nm의 질화크롬(CrN)을 채택한 구조에서 흡수체 패턴이 L/S 패턴 형태를 가지도록 설정하였다. 또한 비교예에 따른 위상 반전 마스크에서, 흡수체 패턴으로 54.5nm의 TaBN을 채택하고, 다른 구조는 모두 본 발명의 실시예에 따른 위상 반전 마스크와 동일하게 설정하였다. 이들 위상 반전 마스크들에서, 광원의 도즈량에 따른 NILS값을 시뮬레이션하여 도 6c에 나타내었다. 도 6c에서 본 발명의 실시예에 따른 위상반전 마스크의 NILS값이 비교예보다 전체적으로 높은 것을 알 수 있다. 예를 들어, 비교예에서 도즈량이 약 67mJ일 때, 약 2.5의 NILS값을 나타내는 반면, 실시예에서는 동일한 도즈량(67mJ)에서 약 2. 725의 NILS값을 나타낼 수 있어, 약 9%가 상승될 수 있다. 또한 실시예에서는 비교예랑 동일한 NILS값(약 2.5)을 나타내기 위해 약 50mJ의 도즈량 만이 필요하므로 도즈량을 약 25% 감소시킬 수 있다. 이로써, 본 발명의 실시예들에 따른 위상 반전 마스크(500)를 사용할 경우 생산량(throughput) 향상을 통한 생산성 개선이 가능하며, LER(Line Edge Roughness)과 LCDU(Local Critical Dimension Uniformity) 감소 및 SLO(single line open) 이나 Missing Contact 감소 등 패터닝 품질 개선에 직접적인 효과를 나타낼 수 있음을 알 수 있다. 이로써 상기 위상 반전 마스크(500)를 사용하여 포토레지스트막에 대하여 노광 공정을 진행하는 경우 미세한 피치를 가지며 정확한 형태를 가지는 포토레지스트 패턴을 제조할 수 있다. 상기 포토레지스트 패턴으로 식각 대상막을 식각할 수 있다. 상기 포토레지스트 패턴으로 패터닝을 진행함으로써, 공정 불량을 줄이고 생산성을 향상시킬 수 있는 반도체 소자의 제조 방법을 제공할 수 있다.
도 7a 내지 도 7c는 본 발명의 실시예들에 따라 도 3의 위상 반전 마스크를 제조하는 과정들을 나타내는 단면도들이다.
도 7a를 참조하면, 기판(100)이 제공될 수 있다. 상기 기판(100)은 낮은 열팽창 계수를 갖는 물질을 포함할 수 있다. 일 예로, 상기 기판(100)은 글래스(glass) 또는 실리콘(Si)을 포함할 수 있다. 상기 기판(100)은 도 2를 참조하여 설명한, 상기 패턴 영역(PA) 및 상기 블랙 보더 영역(BA)을 포함할 수 있다.
하부 도전층(110)이 상기 기판(100)의 제2 면(100b) 상에 형성될 수 있다. 상기 하부 도전층(110)은 일 예로, CrN을 포함할 수 있고, 스퍼터링 증착 공정을 이용하여 형성될 수 있다.
반사층(120)이 상기 기판(100)의 제1 면(100a) 상에 형성될 수 있다. 상기 반사층(120)을 형성하는 것은, 저굴절률층들(122) 및 고굴절률층들(124)을 상기 기판(100)의 제1 면(100a) 상에 교대로 그리고 반복적으로 형성하는 것을 포함할 수 있다. 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)은 각각 약 40회 내지 약 60회 적층될 수 있고, 일 예로, 스퍼터링 증착 공정을 이용하여 형성될 수 있다. 일부 실시예들에 따르면, 상기 반사층(120)을 형성하는 것은, 상기 블랙 보더 영역(BA) 상의 제 2 부분(S2)에 레이저 어닐링(laser annealing) 공정을 수행하는 것을 포함할 수 있다. 이로써 상기 제 2 부분(S2)의 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)은 상기 레이저 어닐링 공정에 의해 인터믹싱될 수 있다. 이에 따라, 상기 반사층(120)은 상기 패턴 영역(PA) 상에서 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)이 교대로 적층된 제 1 부분(S1)과, 상기 블랙 보더 영역(BA) 상에서 상기 저굴절률층들(122) 및 상기 고굴절률층들(124)이 인터믹싱된(intermixed) 제 2 부분(S2)을 가질 수 있다.
캐핑막(130)이 상기 반사층(120) 상에 형성될 수 있다. 상기 캐핑막(130)은 일 예로, 루테늄을 포함할 수 있고, 스퍼터링 증착 공정을 이용하여 형성될 수 있다. 상기 캐핑막(130)은 도 5에서 설명한 바와 같이 제 1 두께(T1)로 형성될 수 있다.
버퍼막(140L)이 상기 캐핑막(130) 상에 형성될 수 있다. 상기 버퍼막(140L)은 도 5에서 설명한 바와 같이 제 2 두께(T2)로 형성될 수 있다. 상기 버퍼막(140L)은 상기 캐핑막(130)에 대해 식각 선택성을 갖는 물질을 포함할 수 있다. 상기 버퍼막(140L)은 식각 저지막으로도 명명될 수 있다. 더하여, 상기 버퍼막(140L)은 후술될 흡수체막(150L)에 대해 식각 선택성을 갖는 물질을 포함할 수 있다. 일 예로, 상기 버퍼막(140L)은 Si, SiN, SiO2, SiON, TaBO, TaO, TaON, TaN, TaBN, TiN, Nb, 및 Ta 중에서 선택되는 적어도 하나를 포함할 수 있다. 상기 버퍼막(140L)을 구성하는 물질은 후속의 흡수체 패턴(150)을 형성하는 식각 가스의 종류에 따라 적절하게 선택될 수 있다. 상기 버퍼막(140L)은 일 예로, 화학기상증착, 스퍼터링 증착 등을 이용하여 형성될 수 있다.
흡수체막(150L)이 상기 버퍼막(140L) 상에 형성될 수 있다. 상기 흡수체막(150L)은 도 5에서 설명한 바와 같이 제 3 두께(T3)로 형성될 수 있다. 상기 흡수체막(150L)은 일 예로, 화학기상증착, 스퍼터링 증착 등을 이용하여 형성될 수 있다. 상기 흡수체막(150L)은 질소와 크롬을 포함하도록 형성될 수 있다. 상기 흡수체막(150L)은 산소를 더 포함할 수 있다. 상기 흡수체막(150L)은 전체적으로 균일한 질소와 크롬의 조성을 가지는 단일막으로 형성될 수 있다. 상기 흡수체막(150L)은 높이에 따라 질소와 크롬의 조성이 변하는 다중막으로 형성될 수 있다. 상기 흡수체막(150L)은 도 4a 내지 도 4c를 참조하여 설명한 질소 함량 프로파일을 가지도록 형성될 수 있다. 이를 위해 상기 흡수체막(150L)을 증착할 때, 질소 가스 유량과 스퍼터링 조건을 변화시킬 수 있다. 상기 흡수체막(150L)은 산소를 더 포함할 수 있다. 상기 흡수체막(150L)은 질화크롬 및 질화산화크롬 중 적어도 하나를 포함할 수 있다.
도 7b를 참조하면, 상기 블랙 보더 영역(BA) 상의 반사층(120)의 제 2 부분(S2)에 레이저를 조사하여 상기 제 2 부분(S2)을 어닐링하여 인터믹싱 구조를 형성할 수 있다.
도 7b 및 도 7c를 참조하면, 상기 흡수체막(150L) 상에 마스크 패턴(MK)을 형성한다. 상기 마스크 패턴(MK)은 상기 버퍼막(140L)과 동일하거나 다른 물질로 형성될 수 있다. 상기 마스크 패턴(MK)은 포토레지스트 패턴일 수 있다. 또는 상기 마스크 패턴(MK)은 하드 마스크로, 상기 마스크 패턴(MK)은 실리콘 함유막, 금속 함유막, 금속질화물, 또는 금속산화물을 포함할 수 있다. 상기 마스크 패턴(MK)은 구체적으로 SiN, SiO2, SiON, TaBO, TaO, TaON, TaN, TaBN, TiN, Nb, 및 Ta 중에서 선택되는 적어도 하나를 포함할 수 있다. 상기 마스크 패턴(MK)을 구성하는 물질은 후속의 흡수체 패턴(150)을 형성하는 식각 가스의 종류에 따라 적절하게 선택될 수 있다.
상기 마스크 패턴(MK)을 식각 마스크로 이용하여 상기 흡수체막(150L)을 식각하여 흡수체 패턴들(150)을 형성하고, 상기 흡수체 패턴들(150) 사이에서 상기 버퍼막(140L)의 상부면을 노출시키는 개구부들(150P)을 형성한다. 이때, 상기 흡수체막(150L)을 식각하는 식각 가스로는 불소를 포함하는 불소 계열 또는 염소를 포함하는 염소 계열이 가능하다. 불소 계열의 식각 가스로는 예를 들면, SF6, CF4, CHF3 이 있을 수 있다. 염소 계열의 식각 가스로는 예를 들면 Cl2이 가능하다. 상기 흡수체막(150L)을 불소 계열로 식각하는 경우에는 상기 마스크 패턴(MK)과 버퍼막(140L)으로 SiN, SiO2, SiON, TaBO, TaO 및 TaON이 가능할 수 있다. 상기 흡수체막(150L)을 염소 계열로 식각하는 경우에는 상기 마스크 패턴(MK)과 버퍼막(140L)으로, TaN, TaBN, TiN, Nb, 및 Ta이 가능할 수 있다.
도 7c와 도 3을 참조하여, 이방성 식각 공정을 진행하여 상기 마스크 패턴(MK)을 제거한다. 이때 상기 버퍼막(140L)도 식각되어 버퍼 패턴들(140)이 형성되고 상기 캐핑막(130)의 상부면이 노출될 수 있다. 상기 캐핑막(130)은 상기 버퍼막(140L)에 대해 우수한 식각 선택비를 가져, 상기 이방성 식각 공정에서 거의 손상되지 않는다. 이로써 도 3의 위상 반전 마스크(500)를 제조할 수 있다.
후속으로 상기 위상 반전 마스크(500)를 세정하고, 상기 위상 반전 마스크(500) 표면에 식각 손상된 부분이 있는지를 검사할 수 있다. 만약, 식각 손상된 부분이 발견된 경우 리페어(repair) 공정을 진행할 수 있다. 상기 리페어 공정은 제논(Xe) 및 불소 가스를 포함하는 리페어 가스를 이용하여 진행될 수 있다.
한편, 상기 버퍼막(140L)이 없는 경우 흡수체막(150L)을 구성하는 질화크롬과 캐핑막(130)을 구성하는 루테늄 간에는 식각 선택비가 거의 없기에, 상기 흡수체 패턴(150)을 형성하는 식각 공정에서 상기 캐핑막(130)의 상부면이 식각 손상을 받을 수 있다. 이 경우, 상기 캐핑막(130)이 루테늄으로 형성되는 경우, 리페어 공정에서 사용되는 리페어 가스와 반응을 하지 않아, 상기 캐핑막(130)의 상부면을 치유하기 어려울 수 있다. 그러나, 본 발명에서는 상기 캐핑막(130) 및 상기 흡수체막(150L)과 우수한 식각 선택성을 가지는 버퍼막(140L)을 사용하여, 상기 캐핑막(130)의 상부면의 식각 손상을 없애, 공정 불량을 줄이고 생산성을 향상시키는 동시에 우수한 품질의 위상 반전 마스크를 제조할 수 있다.
상기 흡수체 패턴(150)이 질화크롬이 아닌, 루테늄, 몰리브덴, 팔라듐, 로듐, 백금, 은과 같은 물질로 이루어진 경우, 불소나 염소를 포함하는 식각 가스로 식각 공정을 진행하는 것이 어려워, 공정 불량이 증가하고 생산성이 저하될 수 있다. 본 발명은 실현 가능성(feasibility)이 높은 질화크롬을 흡수체 패턴(150)으로 채택함으로써 생산성을 향상시킬 수 있다.
도 8은 본 발명의 실시예들에 따른 위상반전 마스크의 단면도이다.
도 8을 참조하면, 본 예에 따른 위상 반전 마스크(501)에서는 반사층(120) 상에 바로 버퍼막(140L)이 위치한다. 상기 반사층(120)의 전면은 버퍼막(140L)과 직접 접할 수 있다. 이때 상기 버퍼막(140L)은 실리콘함유막으로 이루어질 수 있다. 구체적으로 상기 버퍼막(140L)은 Si, SiN, SiO2, 및 SiON 중 선택되는 적어도 하나를 포함할 수 있다. 상기 흡수체 패턴(150)은 도 3 내지 도 5를 참조하여 설명한 물질과 구조를 가질 수 있다. 상기 버퍼막(140L) 상에 흡수체 패턴(150)이 배치될 수 있다. 상기 버퍼막(140L)은 상기 흡수체 패턴(150)을 형성할 때 식각 저지 패턴의 역할을 하는 것뿐만 아니라 상기 반사층(120)이 식각 손상을 막고 상기 반사층(120)을 보호하는 캐핑막의 역할을 할 수 있다. 본 예에서 상기 버퍼막(140L)은 식각 저지막 또는 캐핑막으로도 명명될 수 있다. 상기 버퍼막(140L)은 도 5에서 설명한 제 2 두께(T2)를 가질 수 있다. 상기 흡수체 패턴(150)은 도 5에서 설명한 제 3 두께(T3)를 가질 수 있다. 상기 제 2 두께(T2)와 상기 제 3 두께(T3)에 대한 설명은 위에서 설명한 바와 같을 수 있다. 상기 버퍼막(140L)의 굴절률은 상기 흡수체 패턴(150)의 굴절률보다 클 수 있다. 상기 흡수체 패턴들(150) 사이의 개구부(150P)를 통해 상기 버퍼막(140L)의 상부면이 노출될 수 있다. 그 외의 구조는 도 3 내지 도 5를 참조하여 설명한 것과 동일/유사할 수 있다.
도 9는 도 8의 위상반전 마스크를 제조하는 과정을 나타내는 단면도이다.
도 9를 참조하면, 기판(100)의 제 2 면(100b) 상에 하부 도전층(110)을 형성한다. 상기 기판(100)의 제 1 면(100a) 상에 반사층(120), 버퍼막(140L) 및 흡수체막(150L)을 차례로 형성하다. 이때 캐핑막(130)은 생략될 수 있다. 레이저를 조사하여 반사층(120)의 제 2 부분(S2)에 인터믹싱된 구조를 형성한다. 그리고 상기 흡수체막(150L) 상에 마스크 패턴(MK)을 형성한다. 상기 마스크 패턴(MK)은 상기 흡수체막(150L) 및 상기 버퍼막(140L)과 동시에 식각 선택성을 가지는 물질로 형성될 수 있다. 상기 마스크 패턴(MK)은 포토레지시트 패턴일 수 있다. 또는 상기 마스크 패턴(MK)은 TaBO, TaO, TaON, TaN, TaBN, TiN, Nb 및 Ta 중 선택되는 적어도 하나를 포함할 수 있다. 상기 마스크 패턴(MK)을 이용하여 상기 흡수체막(150L)을 식각하여 흡수체 패턴들(150)을 형성하고 이들 사이의 개구부(150P)를 통해 버퍼막(140L)의 상부면을 노출시킨다. 그리고 도 8을 참조하여, 상기 마스크 패턴(MK) 만을 선택적으로 제거하여 상기 흡수체 패턴들(150)의 상부면들을 노출시킬 수 있다.
본 예에 따른 위상 반전 마스크(501)에서는 루테늄으로 구성되는 도 3의 캐핑막(130)이 생략되기에 공정을 단순화시키고 수율을 향상시킬 수 있다.
본 발명의 실시예들에 대한 이상의 설명은 본 발명의 설명을 위한 예시를 제공한다. 따라서 본 발명은 이상의 실시예들에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당해 기술 분야의 통상의 지식을 가진 자에 의하여 상기 실시예들을 조합하여 실시하는 등 여러 가지 많은 수정 및 변경이 가능함은 명백하다.
100: 기판 110: 하부 도전층
120: 반사층 130: 캐핑막
140: 버퍼 패턴 150: 흡수체 패턴

Claims (20)

  1. 기판;
    상기 기판 상의 반사층;
    상기 반사층 상에 배치되는 캐핑막;
    상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 버퍼 패턴; 및
    상기 버퍼 패턴 상의 흡수체 패턴을 포함하되,
    상기 버퍼 패턴은 상기 흡수체 패턴 및 상기 캐핑막에 대하여 식각 선택성을 가지는 물질을 포함하고,
    상기 흡수체 패턴의 굴절률은 상기 버퍼 패턴의 굴절률보다 작고,
    상기 흡수체 패턴의 두께는 상기 버퍼 패턴의 두께보다 큰, 극자외선 리소그래피용 위상 반전 마스크.
  2. 제 1 항에 있어서,
    상기 흡수체 패턴은 질화크롬(CrN) 및 질화산화크롬(CrON) 중 적어도 하나를 포함하는 극자외선 리소그래피용 위상 반전 마스크.
  3. 제 2 항에 있어서,
    상기 흡수체 패턴 내에서 상기 질소의 함량은 5~70 at.%인 극자외선 리소그래피용 위상 반전 마스크.
  4. 제 1 항에 있어서,
    상기 흡수체 패턴은 차례로 적층된 제 1 서브 흡수체막과 제 2 서브 흡수체막을 포함하고,
    상기 제 1 서브 흡수체막 내의 질소 함량은 상기 제 2 서브 흡수체막 내의 질소 함량과 다른 극자외선 리소그래피용 위상 반전 마스크.
  5. 제 1 항에 있어서,
    상기 흡수체 패턴의 두께는 40~55nm이고,
    상기 버퍼 패턴의 두께는 4~10nm인 극자외선 리소그래피용 위상 반전 마스크.
  6. 제 1 항에 있어서,
    상기 버퍼 패턴은 Si, SiN, SiO2, SiON, TaBO, TaO, TaON, TaN, TaBN, TiN, Nb, 및 Ta 중에 선택되는 적어도 하나를 포함하는 위상 반전 마스크.
  7. 제 1 항에 있어서,
    상기 흡수체 패턴을 통과하여 반사되는 극자외선이 상기 개구부를 통과하여 반사되는 극자외선에 대해 170° 내지 235°의 위상차를 가지도록 구성되는 극자외선 리소그래피용 위상 반전 마스크.
  8. 도전층 상의 기판;
    상기 기판 상의 반사층;
    상기 반사층 상에 배치되는 캐핑막; 및
    상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 흡수체 패턴을 포함하되,
    상기 흡수체 패턴은 질소와 크롬을 포함하며,
    상기 흡수체 패턴 내에서 상기 질소의 함량은 5~70 at.%인 극자외선 리소그래피용 위상 반전 마스크.
  9. 제 8 항에 있어서,
    상기 캐핑막은 상기 흡수체 패턴과 식각 선택성을 가지는 물질을 포함하고,
    상기 흡수체 패턴의 두께는 상기 캐핑막의 두께보다 큰, 극자외선 리소그래피용 위상 반전 마스크.
  10. 제 9 항에 있어서,
    상기 캐핑막은 Si, SiN, SiO2, 및 SiON 중에 선택되는 적어도 하나를 포함하는 위상 반전 마스크.
  11. 제 8 항에 있어서,
    상기 흡수체 패턴은 산소를 더 포함하는 극자외선 리소그래피용 위상 반전 마스크.
  12. 제 8 항에 있어서,
    상기 흡수체 패턴 내에서 상기 질소의 함량은 높이에 따라 불연속적으로 또는 점진적으로 변하는 극자외선 리소그래피용 위상 반전 마스크.
  13. 제 8 항에 있어서,
    상기 캐핑막과 상기 흡수체 패턴 사이에 개재되는 버퍼 패턴을 더 포함하며,
    상기 버퍼 패턴은 상기 흡수체 패턴과 식각 선택성을 가지는 물질을 포함하는 극자외선 리소그래피용 위상 반전 마스크.
  14. 제 13 항에 있어서,
    상기 버퍼 패턴은 Si, SiN, SiO2, SiON, TaBO, TaO, TaON, TaN, TaBN, TiN, Nb, 및 Ta 중에 선택되는 적어도 하나를 포함하고,
    상기 캐핑막은 루테늄을 포함하는 위상 반전 마스크.
  15. 제 13 항에 있어서,
    상기 흡수체 패턴의 두께는 40~55nm이고,
    상기 버퍼 패턴의 두께는 4~10nm인 극자외선 리소그래피용 위상 반전 마스크.
  16. 제 8 항에 있어서,
    상기 기판은 블랙 보더 영역과 패턴 영역을 포함하고,
    상기 반사층은 서로 교대로 적층된 저굴절률층들과 고굴절률층들을 포함하고,
    상기 블랙 보더 영역 상에서 상기 저굴절률층들과 상기 고굴절률층들은 인터믹싱된(intermixed) 극자외선 리소그래피용 위상 반전 마스크.
  17. 기판;
    상기 기판 상의 반사층;
    상기 반사층 상에 배치되는 캐핑막;
    상기 캐핑막 상에 배치되며, 상기 캐핑막의 표면을 노출시키는 개구부를 제공하는 버퍼 패턴; 및
    상기 버퍼 패턴 상의 흡수체 패턴을 포함하되,
    상기 버퍼 패턴은 상기 흡수체 패턴과 식각 선택성을 가지는 물질을 포함하고,
    상기 흡수체 패턴은 질소와 크롬을 포함하고,
    상기 흡수체 패턴 내에서 상기 질소의 함량은 상기 버퍼 패턴으로부터 거리에 따라 불연속적으로 또는 점진적으로 변하는 극자외선 리소그래피용 위상 반전 마스크.
  18. 제 17 항에 있어서,
    상기 흡수체 패턴의 굴절률은 상기 버퍼 패턴의 굴절률보다 작고,
    상기 흡수체 패턴의 두께는 상기 버퍼 패턴의 두께보다 큰, 극자외선 리소그래피용 위상 반전 마스크.
  19. 제 17 항에 있어서,
    상기 흡수체 패턴의 두께는 상기 극자외선의 파장보다 크고, 상기 버퍼 패턴의 두께는 상기 극자외선의 파장보다 큰, 극자외선 리소그래피용 위상 반전 마스크.
  20. 제 17 항에 있어서,
    상기 버퍼 패턴은 Si, SiN, SiO2, SiON, TaBO, TaO, TaON, TaN, TaBN, TiN, Nb, 및 Ta 중에 선택되는 적어도 하나를 포함하는 극자외선 리소그래피용 위상 반전 마스크.
KR1020200073145A 2020-06-16 2020-06-16 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법 KR20210155863A (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020200073145A KR20210155863A (ko) 2020-06-16 2020-06-16 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법
TW110102823A TWI830983B (zh) 2020-06-16 2021-01-26 極紫外光微影相移光罩
US17/328,008 US20210389662A1 (en) 2020-06-16 2021-05-24 Phase shift mask for extreme ultraviolet lithography and a method of manufacturing a semiconductor device using the same
CN202110660102.6A CN113805427A (zh) 2020-06-16 2021-06-15 用于极紫外光刻的相移掩模和用其制造半导体装置的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200073145A KR20210155863A (ko) 2020-06-16 2020-06-16 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법

Publications (1)

Publication Number Publication Date
KR20210155863A true KR20210155863A (ko) 2021-12-24

Family

ID=78825307

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200073145A KR20210155863A (ko) 2020-06-16 2020-06-16 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법

Country Status (3)

Country Link
US (1) US20210389662A1 (ko)
KR (1) KR20210155863A (ko)
CN (1) CN113805427A (ko)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070086692A (ko) * 2002-04-11 2007-08-27 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법
EP1536284A1 (en) * 2002-07-02 2005-06-01 Sony Corporation Phase shift mask and production method therefor and production method for semiconductor device
JP4602430B2 (ja) * 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
US9805939B2 (en) * 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10845698B2 (en) * 2018-05-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
KR20210016142A (ko) * 2019-07-31 2021-02-15 삼성전자주식회사 Euv 레티클 검사 방법, 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
CN113805427A (zh) 2021-12-17
TW202201110A (zh) 2022-01-01
US20210389662A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
US11372323B2 (en) Phase-shift mask for extreme ultraviolet lithography
US6562522B1 (en) Photomasking
US7384715B2 (en) Forming an EUV mask with a phase-shifter layer and an intensity balancer layer
EP1373978B1 (en) Extreme ultraviolet mask with improved absorber
JP2022009220A (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
KR101076886B1 (ko) 극자외선 리소그래피를 위한 마스크 및 이를 이용한 노광방법
JP6915280B2 (ja) 反射型フォトマスク及び反射型フォトマスクブランク
JPH10186632A (ja) ハーフトーン型位相シフトマスク用ブランク及びハーフトーン型位相シフトマスク
KR101676514B1 (ko) 단일층 흡수체 박막을 이용한 극자외선 노광 공정용 위상 반전 마스크
KR101943107B1 (ko) 마스크 블랭크, 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
US20070134563A1 (en) Photomask and method of manufacturing semiconductor device
JP7059679B2 (ja) 反射型フォトマスクブランク及び反射型フォトマスク
JP4977535B2 (ja) パターン転写方法
JP4622504B2 (ja) 極端紫外線露光用マスクブランク及びマスク並びにパターン転写方法
JP4529359B2 (ja) 極限紫外線露光用マスク及びブランク並びにパターン転写方法
KR20210155863A (ko) 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법
JP2005340553A (ja) 露光用マスク
KR100735530B1 (ko) 단차를 가진 반사층을 포함하는 반사형 포토마스크 및 그 제조방법
TWI830983B (zh) 極紫外光微影相移光罩
US11774846B2 (en) Phase shift masks for extreme ultraviolet lithography
JP4977794B2 (ja) パターン転写方法およびフォトマスク
JP4605284B2 (ja) 極限紫外線露光用マスク、極限紫外線露光用マスクブランク、およびパターン転写方法
JPH04269749A (ja) フォトマスクおよびその製造方法
KR20230123181A (ko) 텔루륨과 안티몬을 포함하는 흡수막을 구비한 극자외선 리소그래피용 블랭크마스크 및 이를 이용하여 제작된 포토마스크
JP2006330635A (ja) フォトマスク及び露光方法