DE102016114882B4 - Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung - Google Patents

Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE102016114882B4
DE102016114882B4 DE102016114882.2A DE102016114882A DE102016114882B4 DE 102016114882 B4 DE102016114882 B4 DE 102016114882B4 DE 102016114882 A DE102016114882 A DE 102016114882A DE 102016114882 B4 DE102016114882 B4 DE 102016114882B4
Authority
DE
Germany
Prior art keywords
layer
mask
reflective
barrier layer
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016114882.2A
Other languages
English (en)
Other versions
DE102016114882A1 (de
Inventor
Chia-Hao Yu
Chi-Lun Lu
Chih-Tsung Shih
Ching-Wei Shen
Jeng-Horng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016114882A1 publication Critical patent/DE102016114882A1/de
Application granted granted Critical
Publication of DE102016114882B4 publication Critical patent/DE102016114882B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Abstract

Reflexionsmaske mit:einem Substrat (102);einer reflektierenden Mehrfachschicht (104), die auf dem Substrat angeordnet ist;einer Antioxidations-Sperrschicht (106), die auf der reflektierenden Mehrfachschicht (104) angeordnet ist und eine amorphe Struktur mit einem mittleren Atomabstand hat, der kleiner als ein kinetischer Durchmesser von Sauerstoff (O2) ist; undeiner Absorptionsschicht (108), die auf der Antioxidations-Sperrschicht (106) angeordnet ist und entsprechend einem Layout eines integrierten Schaltkreises strukturiert ist; wobeidie Antioxidations-Sperrschicht (106) mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium aufweist,die Antioxidations-Sperrschicht (106) einen ersten Teil, der von der Absorptionsschicht (108) bedeckt ist, und einen zweiten Teil, der nicht von der Absorptionsschicht (108) bedeckt ist, aufweist,der erste Teil der Antioxidations-Sperrschicht (106) mit Sauerstoff und/oder Stickstoff dotiert ist undder zweite Teil der Antioxidations-Sperrschicht (106) weder mit Sauerstoff noch mit Stickstoff dotiert ist.

Description

  • Hintergrund der Erfindung
  • Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat ein rasches Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Designs haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Entwicklung hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionsleistung und die Senkung der entsprechenden Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen in der IC-Bearbeitung und -Herstellung erforderlich. In einem Beispiel, das die lithografische Strukturierung betrifft, hat eine Fotomaske für die Verwendung in einem lithografischen Verfahren eine darauf definierte Schaltkreisstruktur, die auf Wafer übertragen werden soll. Während eines lithografischen ELTV-Prozesses (EUV: extremes Ultraviolett) wird eine Reflexionsmaske zum Herstellen eines integrierten Schaltkreises verwendet, der eine geringere Strukturgröße hat. Die bestehende Reflexionsmaske ist jedoch bei der Herstellung, wie etwa durch Oxidation, gefährdet, und kann leicht beschädigt werden.
  • Zum Stand der Technik werden die US 2015/0 261 082 A1 , die US 2004/0 091 789 A1 , die DE 102 23 113 A1 , die US 2015/0 107 617 A1 und die US 2016/0 011 344 A1 genannt.
  • Daher werden eine Reflexionsmaske und ein Verfahren zu deren Herstellung benötigt, die die vorgenannten Probleme lösen.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine Schnittansicht einer reflektierenden Fotomaske, die in einer lithografischen EUV-Belichtungsanlage verwendet wird, gemäß einigen Ausführungsformen.
    • 2 ist ein Diagramm, das typische Daten eines Strukturelements in der Reflexionsmaske von
    • 1 gemäß einigen Ausführungsformen zeigt.
    • 3 ist eine Schnittansicht einer reflektierenden Fotomaske, die in einer lithografischen EUV-Belichtungsanlage verwendet wird, gemäß einigen Ausführungsformen.
    • 4 ist eine Schnittansicht einer reflektierenden Fotomaske, die in einer lithografischen EUV-Belichtungsanlage verwendet wird, gemäß einigen Ausführungsformen.
    • 5 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung einer reflektierenden Fotomaske, die in einer lithografischen EUV-Belichtungsanlage verwendet wird, gemäß einigen Ausführungsformen.
    • 6 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung einer reflektierenden Fotomaske, die in einer lithografischen EUV-Belichtungsanlage verwendet wird, gemäß einigen Ausführungsformen.
    • Die 7 und 8 sind Schnittansichten einer reflektierenden Fotomaske, die mit dem Verfahren von 6 gemäß einigen Ausführungsformen hergestellt wird.
    • 9 ist ein Diagramm, das typische Daten eines Strukturelements in der Reflexionsmaske von
    • 8 gemäß einigen Ausführungsformen zeigt.
    • 10 ist eine Schnittansicht einer reflektierenden Fotomaske, die in einer lithografischen EUV - Belichtungsanlage verwendet wird, gemäß einigen Ausführungsformen.
    • 11 ist ein Diagramm, das typische Daten eines Strukturelements in der Reflexionsmaske von
    • 10 gemäß einigen Ausführungsformen zeigt.
    • 12 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung eines integrierten Schaltkreises, der gemäß einigen Ausführungsformen konfiguriert ist.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • 1 ist eine Schnittansicht einer reflektierenden Fotomaske (oder eines Reflexionsretikels oder einer Reflexionsmaske) 100, die in einer lithografischen EUV-Belichtungsanlage verwendet wird, die gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung konfiguriert ist.
  • Die Reflexionsmaske 100 weist ein Substrat 102 auf. Das Substrat 102 ist so gewählt, dass die Bildverzeichnung infolge der Erwärmung der Maske durch die verstärkte Belichtungsstrahlung minimiert wird. Bei den vorliegenden Ausführungsformen weist das Substrat 102 ein Material mit einer geringen thermischen Ausdehnung (low thermal expansion material; LTEM) auf. Das LTEM kann Quarzglas, Siliciumcarbid, Siliciumoxid-Titanoxid-Legierungen und/oder andere geeignete LTEMs umfassen, die auf dem Fachgebiet bekannt sind. Alternativ kann das Substrat 102 in Abhängigkeit von den Anforderungen an den Maskenentwurf auch andere Materialien umfassen, wie etwa Quarz oder Glas. Das Substrat 102 umfasst Materialien mit einer geringen Defektdichte und einer glatten Oberfläche.
  • Die Reflexionsmaske 100 weist eine reflektierende Mehrfachschicht (reflective multilayer; RML) 104 [die auch als ein Mehrschichtspiegel (multilayer mirror; MLM) bezeichnet wird] auf, die auf dem Substrat 102 abgeschieden ist. Die RML 104 ist so konfiguriert, dass sie das auf das Substrat 102 gerichtete Strahlungslicht reflektiert. Bei einer Ausführungsform weist die RML 104 abwechselnde Schichten aus zwei Materialien auf, die auf der Oberseite des Substrats 102 abgeschieden sind, um als ein Bragg-Reflektor zu wirken, der die Reflexion des Strahlungslichts maximiert, wie etwa von EUV-Licht mit einer Wellenlänge von 13,5 nm.
  • Die Kombination aus den beiden Materialien in den abwechselnden Schichten ist so gewählt, dass ein großer Unterschied in den Brechzahlen zwischen den beiden Schichten entsteht (zum Beispiel, um einen hohen Reflexionsgrad an einer Grenzfläche der beiden Schichten entsprechend den Fresnelschen Gleichungen zu erzielen) und dennoch niedrige Extinktionskoeffizienten für die Schichten zu ermöglichen (zum Beispiel, um die Absorption zu minimieren). In einem Beispiel weist die RML 104 Molybdän-Silicium(Mo/Si)-Schichtpaare auf. In einem weiteren Beispiel weist die RML 104 Molybdän-Beryllium(Mo/Be)-Schichtpaare auf. Die Dicke der einzelnen Schichten jedes Schichtpaars der RML 104 wird in Abhängigkeit von der Wellenlänge und dem Auftreffwinkel des auf die Maske auftreffenden Lichts (wie etwa einer EUV-Strahlung) eingestellt, sodass die Maske eine maximale Verstärkung des Lichts erzielt, das von verschiedenen Grenzflächen der RML 104 reflektiert wird. Im Allgemeinen nimmt der Reflexionsgrad der RML 104 mit steigender Anzahl von Schichtpaaren der RML zu. Folglich kann im Prinzip, wenn die Anzahl von Schichtpaaren ausreichend hoch ist und die Extinktionskoeffizienten der Materialien der Schichten nahe null sind, der Reflexionsgrad der RML 104 an 100 % heranreichen, unabhängig von dem Unterschied bei den Brechzahlen der Materialien der Schichten in den Schichtpaaren. In dem EUV-Wellenlängenbereich wird jedoch der höchste Reflexionsgrad, der erzielt werden kann, von den Extinktionskoeffizienten der Materialien begrenzt, die für die Schichten der RML 104 verwendet werden. In dem vorliegenden Beispiel reicht die Anzahl von Schichtpaaren der RML 104 von zwanzig bis achtzig. Um bei der beschriebenen Ausführungsform zum Beispiel mehr als 90 % des maximal erzielbaren Reflexionsgrads (mit den gewählten Materialien) der RML 104 zu erzielen und die reine Maskenherstellungszeit und -kosten zu minimieren, weist die RML 104 etwa vierzig Schichtpaare, wie etwa vierzig Mo/Si-Paare, auf. Weiterhin weisen in dem Beispiel die Mo/Si-Paare eine Siliciumschicht mit einer Dicke von 3 nm bis 5 nm (zum Beispiel etwa 4 nm) und eine Molybdänschicht mit einer Dicke von 2 nm bis 4 nm (zum Beispiel etwa 3 nm) auf. Alternativ weist die RML 104 in Abhängigkeit von den Vorgaben für den Reflexionsgrad der Maske jede andere Anzahl von Schichtpaaren auf. Bei weiteren Alternativen kann die RML 104 Schichtgruppen, mit anderen Worten, Gruppen von drei oder mehr Schichten, die unterschiedliche Brechzahlen und andere Eigenschaften haben, aufweisen, um den Rellexionsgrad zu maximieren.
  • In dem vorliegenden Beispiel weist die RML 104 Molybdän-Silicium(Mo/Si)-Schichtpaare auf. Die RML 104 weist etwa 40 Mo/Si-Schichtpaare auf und jedes Mo/Si-Schichtpaar hat eine kollektive Dicke von etwa 7 nm.
  • Auf der RML 104 wird eine Deckschicht 106 abgeschieden. Da die Deckschicht 106 andere Ätzeigenschaften als eine Absorptionsschicht hat, bildet die Deckschicht 106 einen Schutz für die RML 104, wie etwa eine Ätzstoppschicht bei einer nachfolgenden Strukturierung oder einem Reparaturprozess an der Absorptionsschicht. Darüber hinaus ist diese Deckschicht 106 so konfiguriert, dass sie auch als eine Antioxidations-Sperrschicht zum Schützen der RML 104 vor Oxidation fungiert. Gleichzeitig vermindert die Deckschicht nicht den Grad der EUV-Reflexion von der RML 104.
  • Die Oxidation der Maske kann verschiedene Ursachen haben, wie etwa Plasma-Trockenätzen unter Mitwirkung von Sauerstoff, Umgebungsfeuchte, Maskenreparatur und Maskenreinigung. Es wurde zum Beispiel festgestellt, dass die oxidativen Mittel, die bei der EUV-Maskenreinigung verwendet werden, eine starke Oxidationsreaktion der RML 104 bewirken und das lokale Silicium der RML 104 in Siliciumdioxid umwandeln, was zu einer Verformung der RML 104 der EUV-Maske 100 führt. Auch die Chemikalien, die bei der Maskenreparatur auf Elektronenstrahlbasis verwendet werden, bewirken eine starke Oxidationsreaktion in der lokalen Oberfläche, was zu einer Verformung der RML 104 führt. Die bestehende Deckschicht hat keine ausreichende Beständigkeit gegen Plasma-Trockenätzen unter Mitwirkung von Sauerstoff, Verunreinigung durch Umweltoxidation und Oxidationsprozesse bei der Maskenreparatur und -reinigung.
  • In der vorliegenden Erfindung wird die Deckschicht 106 so entworfen und hergestellt, dass sie ein starkes Antioxidationsvermögen hat, sodass wirksam vermieden werden kann, dass die reflektierende Mehrfachschicht 104 oxidiert wird, und daher wird die Deckschicht 106 auch als Antioxidations-Sperrschicht bezeichnet. Zusätzlich zu ihrem Antioxidationsvermögen ist die Deckschicht 106 für eine ausreichende Beständigkeit gegen verschiedene Chemikalien und eine ausreichende Beständigkeit bei verschiedenen chemischen Prozessen konfiguriert, wie etwa Reinigung und Ätzung. In einigen Beispielen verursacht das ozonierte Wasser, das zum Herstellen der Reflexionsmaske 100 in dem nachfolgenden Prozess verwendet wird, Schäden an der Deckschicht, die aus Ru besteht, und führt zu einer signifikanten Verringerung des EUV-Reflexionsgrads. Außerdem wurde beobachtet, dass nach der Ru-Oxidation Ru-Oxid leicht von einem Ätzmittel, wie etwa C12- oder F2-Gas, weggeätzt wird. Darüber hinaus ist die Deckschicht 106 so konfiguriert, dass das Vermögen, das während eines lithografischen Prozesses verwendete EUV-Licht zu reflektieren, nicht verschlechtert wird. Bei verschiedenen Ausführungsformen wird die Deckschicht 106 unter Berücksichtigung der identifizierten Probleme entworfen und hergestellt.
  • Bei einigen Ausführungsformen ist die Deckschicht 106 mit einer solchen Zusammensetzung und Morphologie konfiguriert, dass die Diffusion von Sauerstoff durch die Deckschicht 106 in die RML 104 wirksam vermieden wird. Insbesondere hat die Deckschicht 106 eine amorphe Struktur mit einem mittleren Atomabstand, der kleiner als der Durchmesser eines Sauerstoff(O2)-Moleküls ist. In Anbetracht dessen ist der kinetische Durchmesser des Sauerstoffmoleküls wichtiger für die Diffusion. Der kinetische Durchmesser des Sauerstoffmoleküls beträgt etwa 3 Ångström. Daher ist der mittlere Atomabstand der Deckschicht 106 kleiner als 3 Ångström, sodass die Sauerstoffmoleküle wirksam daran gehindert werden, durch die Deckschicht 106 zu diffundieren. Die Deckschicht 106 wird so hergestellt, dass sie amorph ist. Der Grund dafür ist, dass die polykristalline Struktur in einer Deckschicht eine Kornmorphologie mit vielen großen Grenzflächen zwischen polykristallinen Körnern hat und die Grenzflächen Wege bilden, über die der Sauerstoff diffundieren kann und schließlich die RML 104 zersetzt und die Leistungsfähigkeit der Reflexionsmaske 100 während eines lithografischen Prozesses vermindert, in dem die Reflexionsmaske 100 verwendet wird. Bei einigen Ausführungsformen weist die Deckschicht 106 eine Legierung aus Ruthenium und einem geeigneten Metall M (RuM-Legierung) auf, in der das Metall M stark Sauerstoff-reaktionsunfähig ist. Wie vorstehend dargelegt worden ist, wird die RuM-Legierung mit einer solchen Zusammensetzung, Struktur und Dicke hergestellt, dass eine Diffusion von Sauerstoff durch die Deckschicht 106 wirksam vermieden wird. Insbesondere hat die RuM-Legierung der Deckschicht 106 eine amorphe Struktur. In einigen Beispielen weist die Deckschicht 106 eine Legierung aus Ru und Platin (Pt) (RuPt-Legierung) auf. Bei einigen Ausführungsformen ist das Metall M der RuM-Legierung ein Metall aus der Gruppe Po, Hg, Os, Rh, Pd, Ir und Pt oder eine Kombination davon. Die RuM-Legierung wird nachstehend mit der RuPt-Legierung als ein Beispiel näher erörtert.
  • In der folgenden Erörterung der Deckschicht 106 weist die Deckschicht 106 eine RuPt-Legierung auf. Platin wird deshalb gewählt, weil es kaum mit Sauerstoff reagiert. Die RuPt-Legierung hat ein Ru-Pt-Verhältnis, das so angepasst ist, dass eine wirksame Antioxidation erzielt wird. In dem vorliegenden Beispiel liegt das Massenverhältnis der RuPt-Legierung in dem Bereich von 1 : 1 bis 3,5 : 1. Bei einigen Beispielen wird die RuPt-Legierung als die Deckschicht 106 auf der RML 104 durch physikalische Aufdampfung (PVD) dadurch hergestellt, dass ein RuPt-Target mit einem geeigneten RuPt-Massenverhältnis verwendet wird, sodass die Deckschicht 106 aus der RuPt-Legierung ein Massenverhältnis in dem Bereich von 1 : 1 bis 3,5 : 1 hat. Zum Beispiel hat die RuPt-Legierung in dem PVD-Target ein ähnliches RuPt-Massenverhältnis in dem Bereich von 1 : 1 bis 3,5 : 1. Die RuPt-Legierung kann alternativ oder zusätzlich mit einem anderen geeigneten Verfahren abgeschieden werden.
  • Darüber hinaus hat die Deckschicht 106 aus der RuPt-Legierung eine so große Dicke, dass sie eine Antioxidation und einen Ätzwiderstand bietet, und eine so geringe Dicke, dass der EUV-Reflexionsgrad der Reflexionsmaske 100 nicht vermindert wird. Weiterhin wird der Reflexionsgrad in Abhängigkeit von der Dicke t der RuPt-Legierung im Vergleich zu Ru untersucht, wie in 2 gezeigt ist. Es ist zu beachten, dass in der vorliegenden Beschreibung der Reflexionsgrad als ein kollektiver Grad der Reflexion von der Deckschicht 106 und der RML 104 gemessen wird. Die horizontale Achse stellt die Dicke t der Deckschicht mit der Einheit Nanometer (nm) dar. Die vertikale Achse stellt den Reflexionsgrad in Prozent dar. Zum Beispiel bedeutet 0,9 einen Reflexionsgrad von 90 %. Wenn sich die Dicke der Deckschicht ändert, ändert sich der Reflexionsgrad entsprechend. Die Bezugslinie 112 steht für einen minimalen Reflexionsgrad für die praktische Funktion der Reflexionsmaske 100 bei Verwendung in einem lithografischen Prozess. In dem vorliegenden Beispiel beträgt der minimale Reflexionsgrad 112 etwa 0,67. Die Kurve 114 stellt den Reflexionsgrad der Ru-Schicht dar, und die Kurve 116 stellt den Reflexionsgrad der RuPt-Legierungsschicht dar. Wie in 2 gezeigt ist, bietet die RuPt-Legierungsschicht einen geringeren EUV-Reflexionsgrad als die Ru-Schicht, wenn sie mit der gleichen Dicke beurteilt werden. Wenn der Reflexionsgrad der RuPt-Legierungsschicht mindestens so hoch wie der minimale Reflexionsgrad 112 oder größer sein soll, muss die Dicke der RuPt-Legierungsschicht 3,03 nm oder weniger betragen, wie durch die Strichlinie 118 angegeben ist. Unter Berücksichtigung von zwei entgegengesetzten Faktoren (der eine Faktor umfasst die Antioxidation und den Ätzwiderstand und der andere Faktor ist der Reflexionsgrad) liegt bei einigen Ausführungsformen die Dicke der Deckschicht 106 aus der RuPt-Legierung in dem Bereich von 2 nm bis 3 nm. Bei weiteren Ausführungsformen hat die Deckschicht 106 aus der RuPt-Legierung eine Dicke von etwa 2,5 nm, plus oder minus 10 %.
  • Kommen wir wieder zu 1 zurück, in der die Deckschicht 106 mit einer anderen Zusammensetzung konfiguriert ist und mit einem anderen Verfahren hergestellt wird. Bei einigen Ausführungsformen weist die Deckschicht 106 mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium auf, das mit Sauerstoff und/oder Stickstoff dotiert ist. In den vorliegenden Beispielen wird die Deckschicht 106 durch Abscheidung und Plasma-Behandlung der abgeschiedenen Schicht unter Verwendung von Sauerstoff und/oder Stickstoff hergestellt.
  • Die Plasma-Behandlung wird so gesteuert, dass der Dotand nur in die Deckschicht und nicht in die RML 104 eingebracht wird. Diese Deckschicht ist eine oxidierte oder nitrogenisierte Ti-, Zr- oder Si-Schicht. Die Struktur dieser Deckschicht und deren Herstellungsverfahren werden später näher beschrieben.
  • In 1 weist die Reflexionsmaske 100 eine Absorptionsschicht 108 auf, die auf der Deckschicht 106 hergestellt ist. Die Absorptionsschicht 108 wird auf der Deckschicht 106 abgeschieden und wird außerdem strukturiert, um darauf eine IC-Struktur, zum Beispiel entsprechend einem IC-Entwurfslayout, zu definieren. Die Absorptionsschicht 108 ist so konfiguriert, dass sie Strahlungslicht (wie etwa EUV-Licht) während eines lithografischen Belichtungsprozesses absorbiert. Das Strahlungslicht geht durch die Öffnungen in der Absorptionsschicht 108 hindurch und wird von der RML 104 reflektiert, sodass die IC-Struktur auf ein IC-Substrat, wie etwa einen Siliciumwafer, abgebildet wird. Bei der vorliegenden Ausführungsform weist die Absorptionsschicht 108 Tantalbornitrid (TaBN) auf. Bei einer weiteren Ausführungsform weist die Absorptionsschicht 108 Chrom (Cr), Chromoxid (CrO), Titannidrid (TiN), Tantalnitrid (TaN), Tantal (Ta), Titan (Ti) oder Aluminiumkupfer (Al-Cu), Palladium, Tantalbornitrid (TaBN), Aluminiumoxid (AlO), Molybdän (Mo) und andere geeignete Materialien auf. Bei einer noch weiteren Ausführungsform weist die Absorptionsschicht 108 mehrere Schichten auf. In einem Beispiel wird die Absorptionsschicht 108 mit einem Abscheidungsverfahren, wie etwa chemische Aufdampfung (CVD), abgeschieden und wird mit einem geeigneten Verfahren, wie etwa Elektronenstrahl-Lithografie und Ätzung, strukturiert.
  • 3 ist eine Schnittansicht einer Reflexionsmaske 150, die gemäß einer weiteren Ausführungsform konfiguriert ist. Die Reflexionsmaske 150 weist ein Substrat 102, eine RML 104, eine Deckschicht 106 und eine Absorptionsschicht 108 auf. Diese Materialschichten sind hinsichtlich Zusammensetzung, Konfiguration und Herstellung denen in der Reflexionsmaske 100 ähnlich. Zusätzlich weist die Reflexionsmaske 150 eine Schutzschicht 110 auf, die auf der Absorptionsschicht 108 angeordnet ist. Bei einigen Ausführungsformen kann die Schutzschicht 110 die Absorptionsschicht 108 vor einer Oxidation des stark absorbierenden Materials schützen, wenn sich die Maske im Reinigungsprozess befindet. Darüber hinaus hat ein Teil der Absorptionsschicht 108 eine geringe Reinigungsbeständigkeit, und die Schutzschicht 110 kann die Reinigungsbeständigkeit verbessern.
  • Die Schutzschicht 110 ist so gewählt, dass sie die Absorptionsschicht 108 wirksam schützt, wie etwa vor Oxidation, Ätzung oder Beschädigung während nachfolgender Prozesse, die Ätzung und Reinigung umfassen. Bei einigen Ausführungsformen ist die Schutzschicht 110 hinsichtlich der Zusammensetzung der Deckschicht 106 ähnlich. Bei verschiedenen Ausführungsformen weist die Schutzschicht 110 Ru, eine Ru-Legierung, oxidiertes oder nitrogenisiertes Ti, Zr oder Si oder ein anderes geeignetes Material auf. Bei weiteren Ausführungsformen kann ein anderes Material zur Herstellung der Schutzschicht 110 verwendet werden. Bei einer Ausführungsform wird eine SiC-Schicht als die Schutzschicht 110 hergestellt.
  • Die Schutzschicht 110 wird so strukturiert, dass sie nur auf der strukturierten Absorptionsschicht 108 freigelegt wird. Bei einer Ausführungsform zur Herstellung der Reflexionsmaske 150 wird die Absorptionsschicht 108 abgeschieden, auf der Absorptionsschicht 108 wird die Schutzschicht 110 abgeschieden, und anschließend wird ein Strukturierungsverfahren, das einen lithografischen Prozess und eine Ätzung umfasst, zum Strukturieren der Absorptionsschicht 108 und der Schutzschicht 110 verwendet. Die Strukturierung kann nur einen Ätzschritt, wie etwa Trockenätzen, oder zwei Ätzschritte, wie etwa zwei Nassätzschritte, umfassen, um nacheinander die Absorptionsschicht 108 und die Schutzschicht 110 zu strukturieren.
  • 4 ist eine Schnittansicht einer Reflexionsmaske 160, die gemäß einer weiteren Ausführungsform konfiguriert ist. Die Reflexionsmaske 160 weist ein Substrat 102, eine RML 104 und eine Absorptionsschicht 108 auf. Diese Materialschichten sind hinsichtlich Zusammensetzung und Herstellung denen in der Reflexionsmaske 100 ähnlich. Die Reflexionsmaske 160 weist weiterhin eine Deckschicht 162 auf, die auf der Absorptionsschicht 108 und der Reflexionsmaske 100 in verschiedenen Tiefen angeordnet ist und dadurch einen Schutz für die RML 104 und die Absorptionsschicht 108 vor verschiedenen Bearbeitungsschäden, wie etwa Oxidation oder Ätzverlust, bietet. Bei dem Verfahren zur Herstellung der Reflexionsmaske 160 wird die Absorptionsschicht 108 auf der RML 104 abgeschieden und wird außerdem strukturiert, um eine IC-Struktur zu definieren. Die Deckschicht 162 wird auf der Absorptionsschicht 108 abgeschieden, und die RML 104 wird in den Öffnungen der Absorptionsschicht 108 abgeschieden.
  • Die Deckschicht 162 kann zusätzlich auf den Seitenwänden der Absorptionsschicht 108 abgeschieden werden. In diesem Fall ist die Deckschicht 162 mit einer Zusammensetzung, Morphologie und Dicke konfiguriert, die denen der Deckschicht der Reflexionsmaske 100 von 1 ähnlich sind. Insbesondere weist die Deckschicht 162 ein Material mit einer amorphen Struktur mit einem mittleren Atomabstand auf, der kleiner als der kinetische Durchmesser von Sauerstoff (O2) ist. Bei einigen Ausführungsformen weist die Deckschicht 162 eine Legierung aus Ru und einem Metall auf, das stark Sauerstoff-reaktionsunfähig ist, wie etwa Pt. Bei einigen Ausführungsformen weist die Deckschicht 162 mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium auf, das mit Sauerstoff und/oder Stickstoff dotiert ist.
  • Die Deckschicht 162 wird jedoch erst nach dem Strukturieren der Absorptionsschicht 108 hergestellt. Darüber hinaus wird die Deckschicht 162 selbst zwar abgeschieden, aber nicht strukturiert. Sie weist einen ersten Teil direkt auf der RML 104 und einen zweiten Teil direkt auf der Absorptionsschicht 108 auf. Der erste und der zweite Teil der Deckschicht 162 befinden sich auf verschiedenen Niveaus.
  • 5 ist ein Ablaufdiagramm eines Verfahrens 200 zur Herstellung einer Reflexionsmaske wie etwa der Maske 100, der Maske 150 oder der Maske 160, gemäß einigen Ausführungsformen. Das Verfahren 200 wird unter Bezugnahme auf die 3 und 5 beschrieben. Das Verfahren 200 beginnt mit dem Schritt 202, in dem ein Substrat 102 bereitgestellt wird. Das Substrat 102 wird so gewählt, dass die Bildverzeichnung infolge der Erwärmung der Maske durch die verstärkte Belichtungsstrahlung minimiert wird. Bei den vorliegenden Ausführungsformen weist das Substrat 102 ein LTEM auf. Das LTEM kann Quarzglas, Siliciumcarbid, Siliciumoxid-Titanoxid-Legierungen und/oder ein anderes geeignetes LTEM umfassen, das auf dem Fachgebiet bekannt ist. Alternativ kann das Substrat 102 in Abhängigkeit von den Anforderungen an den Maskenentwurf auch andere Materialien umfassen, wie etwa Quarz oder Glas.
  • Das Verfahren 200 geht zum Schritt 204 weiter, in dem eine RML 104 auf dem Substrat 102 hergestellt wird. Bei einer Ausführungsform weist die RML 104 abwechselnde Schichten aus zwei Materialien auf, die auf der Oberseite des Substrats 102 abgeschieden werden, um als ein Bragg-Reflektor zu wirken, der die Reflexion des Strahlungslichts maximiert, wie etwa von EUV-Licht mit einer Wellenlänge von 13,5 nm. Die Kombination aus den beiden Materialien in den abwechselnden Schichten wird so gewählt, dass ein großer Unterschied in den Brechzahlen zwischen den beiden Schichten sowie niedrige Extinktionskoeffizienten oder eine minimierte Absorption entstehen. In einem Beispiel weist die RML 104 Molybdän-Silicium(Mo/Si)-Schichtpaare auf. In einem weiteren Beispiel weist die RML 104 Molybdän-Beryllium (Mo/Bc)-Schichtpaare auf. Die RML 104 wird durch PVD oder ein anderes geeignetes Verfahren hergestellt. Zum Beispiel werden Mo und Be abwechselnd abgeschieden, um die RML 104 mittels PVD oder eines anderen geeigneten Abscheidungsverfahrens herzustellen. In einem weiteren Beispiel wird das Substrat 102 mit einer Mo-Schicht unter Verwendung eines Mo-Targets durch Sputtern abgeschieden und wird mit einer Si-Schicht unter Verwendung eines Si-Targets durch Sputtern abgeschieden. Dieser Bearbeitungszyklus wird so lange wiederholt, bis eine Anzahl von Mo/Si-Schichtpaaren hergestellt ist. In einem Beispiel reicht die Anzahl von Schichtpaaren der RML 104 von zwanzig bis achtzig. In einem weiteren Beispiel weisen die Mo/Si-Schichtpaare jeweils eine Siliciumschicht mit einer Dicke von etwa 3 nm bis 5 nm und eine Molybdänschicht mit einer Dicke von etwa 2 nm bis 4 nm auf.
  • Das Verfahren 200 geht zu dem Schritt 206 weiter, in dem eine Deckschicht 106 auf der RML 104 abgeschieden wird. Die Deckschicht 106 wird durch PVD oder ein anderes geeignetes Verfahren hergestellt. Die Deckschicht 106 weist eine Antioxidations-Sperrschicht auf, die eine amorphe Struktur mit einem mittleren Atomabstand hat, der kleiner als der kinetische Durchmesser von Sauerstoff (O2) ist, der 3 Ångström beträgt. Bei verschiedenen Ausführungsformen weist die Deckschicht 106 eine RuM-Legierung, in der M ein stark Sauerstoff-reaktionsunfähiges Metall, wie etwa Pt, ist, oder mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium auf, das mit Sauerstoff und/oder Stickstoff dotiert ist. Das Verfahren 200 geht zu dem Schritt 208 weiter, in dem eine Absorptionsschicht 108 auf der Deckschicht 106 hergestellt wird. Bei der vorliegenden Ausführungsform weist die Absorptionsschicht 108 Tantalbornitrid (TaBN) auf. Bei einer weiteren Ausführungsform weist die Absorptionsschicht 108 Chrom (Cr), Chromoxid (CrO), Titannidrid (TiN), Tantalnitrid (TaN), Tantal (Ta), Titan (Ti) oder Aluminiumkupfer (Al-Cu), Palladium, Tantalbornitrid (TaBN), Aluminiumoxid (AlO), Molybdän (Mo) und andere geeignete Materialien auf. Bei einer noch weiteren Ausführungsform weist die Absorptionsschicht 108 mehrere Schichten auf. In einem Beispiel wird die Absorptionsschicht 108 mit einem Abscheidungsverfahren, wie etwa chemische Aufdampfung (CVD), abgeschieden.
  • Das Verfahren 200 geht zu dem Schritt 210 weiter, in dem eine Schutzschicht 110 auf der Absorptionsschicht 108 hergestellt wird. Die Schutzschicht 110 kann ein Material aufweisen, das hinsichtlich Zusammensetzung und Herstellung dem der Deckschicht 106 ähnlich ist.
  • Das Verfahren 200 geht zu dem Schritt 212 weiter, in dem die Schutzschicht 110 und die Absorptionsschicht 108 strukturiert werden. Bei einer Ausführungsform umfasst der Schritt 212 einen lithografischen Prozess und einen Ätzprozess. Der lithografische Prozess umfasst das Beschichten der Maske 150 mit einer Resistschicht und das Bestrahlen mit einem Bestrahlungsstrahl (z. B. einem Elektronenstrahl), um die Resistschicht freizulegen und sie zu einer strukturierten Resistschicht zu entwickeln. Der lithografische Prozess kann außerdem weitere Schritte umfassen, wie etwa Vorhärten, Härten nach der Belichtung oder Nachhärten. Der Ätzprozess kann einen oder mehrere Schritte umfassen. In einem Beispiel umfasst der Ätzprozess eine Trockenätzung zum Ätzen der Schutzschicht 110 und der Absorptionsschicht 108. In einem weiteren Beispiel umfasst der Ätzprozess zwei Ätzschritte, die jeweils ein Ätzmittel verwenden, das die jeweilige Materialschicht (wie etwa die Schutzschicht 110 oder die Absorptionsschicht 108) selektiv ätzt.
  • Bei einer weiteren Ausführungsform überspringt das Verfahren 200 den Schritt 210, wenn das Verfahren 200 zum Herstellen der in 1 gezeigten Reflexionsmaske 100 verwendet wird, bei der die Schutzschicht 110 weggelassen wird. Darüber hinaus ist der Schritt 212 so konfiguriert, dass nur die Absorptionsschicht 108 strukturiert wird.
  • Bei einer noch weiteren Ausführungsform überspringt das Verfahren 200 den Schritt 206 und der Schritt 212 ist so konfiguriert, dass nur die Absorptionsschicht 108 strukturiert wird, wenn das Verfahren 200 zum Herstellen der in 4 gezeigten Reflexionsmaske 160 verwendet wird, bei der die Deckschicht 162 sowohl auf der RML 104 als auch auf der Absorptionsschicht 108 hergestellt wird. Darüber hinaus wird der Schritt 210 nach dem Schritt 212 ausgeführt, sodass die Deckschicht 162 direkt auf der Absorptionsschicht 108 und der RML 104 auf jeweiligen Bereichen hergestellt wird.
  • 6 ist ein Ablaufdiagramm eines Verfahrens 220 zur Herstellung einer Reflexionsmaske 230 gemäß einigen Ausführungsformen. Die Reflexionsmaske 230 ist in den 7 und 8 in Schnittansichten dargestellt. Insbesondere ist die Deckschicht 106 der Reflexionsmaske 230 ein oxidiertes oder nitrogenisiertes Material. Das Verfahren 220 wird unter Bezugnahme auf die 6 bis 8 beschrieben. Einige Schritte des Verfahrens 220 sind denen des Verfahrens 200 ähnlich. Der Einfachheit halber wird die Beschreibung weggelassen. Das Verfahren 220 beginnt mit dem Schritt 202, in dem ein Substrat 102 bereitgestellt wird. In den vorliegenden Ausführungsformen weist das Substrat 102 ein LTEM auf.
  • Das Verfahren 220 geht zu dem Schritt 204 weiter, in dem eine RML 104 auf dem Substrat 102 hergestellt wird. Bei einer Ausführungsform weist die RML 104 abwechselnde Schichten aus zwei Materialien auf, die auf der Oberseite des Substrats 102 abgeschieden werden, um als ein Bragg-Reflektor zu wirken, der die Reflexion des Strahlungslichts maximiert. In einem Beispiel weist die RML 104 Molybdän-Silicium(Mo/Si)-Schichtpaare auf. In einem weiteren Beispiel weist die RML 104 Molybdän-Beryllium(Mo/Be)-Schichtpaare auf.
  • Das Verfahren 220 geht zu dem Schritt 222 weiteren, in dem eine Deckschicht 106 auf der RML 104 abgeschieden wird. Die Deckschicht 106 weist Titan, Silicium, Zirconium oder eine Kombination davon auf. Bei einigen Ausführungsformen weist die Deckschicht 106 SiO2, TiO2, ZrO2, ZnO, SnO2, W, SrTiO3, Nb2O5 oder KTaO3 auf. Die Dicke der Deckschicht 106 wird in einem bestimmten Bereich gesteuert, sodass der EUV-Reflexionsgrad nicht vermindert wird. Das wird bei dem Schritt 224 näher erörtert.
  • Das Verfahren 220 geht zu dem Schritt 208 weiter, in dem eine Absorptionsschicht 108 auf der Deckschicht 106 hergestellt wird. Bei der vorliegenden Ausführungsform weist die Absorptionsschicht 108 TaBN auf. Bei einer weiteren Ausführungsform weist die Absorptionsschicht 108 Chrom (Cr), Chromoxid (CrO), Titannidrid (TiN), Tantalnitrid (TaN), Tantal (Ta), Titan (Ti) oder Aluminiumkupfer (Al-Cu), Palladium, Tantalbornitrid (TaBN), Aluminiumoxid (AlO), Molybdän (Mo) und andere geeignete Materialien auf. Die Absorptionsschicht 108 kann mit einem Abscheidungsverfahren, wie etwa CVD, PVD oder einem anderen geeigneten Verfahren, abgeschieden werden.
  • Das Verfahren 220 kann einen Schritt 210 umfassen, in dem eine Schutzschicht, wie etwa die Schutzschicht 110 von 3, auf der Absorptionsschicht 108 hergestellt wird. Alternativ kann die Schutzschicht bei einigen Ausführungsformen weggelassen werden.
  • Das Verfahren 220 geht zu dem Schritt 212 weiter, in dem die Absorptionsschicht 108 (und die Schutzschicht 110, falls vorhanden) entsprechend einer integrierten Schaltkreisstruktur strukturiert werden. Bei einer Ausführungsform umfasst der Schritt 212 einen lithografischen Prozess und einen Ätzprozess. Die strukturierte Absorptionsschicht 108 weist eine oder mehrere Öffnungen auf, sodass die Teile der darunter befindlichen Deckschicht 106 in den Öffnungen nicht von der Absorptionsschicht bedeckt sind.
  • Das Verfahren 220 geht zu dem Schritt 224 weiter, in dem ein Plasma-Prozess (oder eine Plasma-Behandlung) 226 an der Deckschicht 106 unter Verwendung von Sauerstoff und/oder Stickstoff durchgeführt wird, wodurch die Deckschicht 106 oxidiert oder nitrogenisiert wird, wie in 8 gezeigt ist. Verschiedene wichtige Faktoren für die Deckschicht 106 sind identifiziert und untersucht worden, insbesondere für ihre verschiedenen Funktionen in der Maske. Der Plasma-Prozess wird unter Berücksichtigung dieser Faktoren konfiguriert, die bereits beschrieben worden sind und nachstehend näher beschrieben werden.
  • Der Plasma-Prozess ist so konfiguriert, dass Sauerstoff und/oder Stickstoff in die Deckschicht eingebracht werden, sodass sie verdichtet wird, um eine wirksame Antioxidation zu erzielen. Insbesondere wird die mit Plasma behandelte Deckschicht 106 oxidiert oder nitrogenisiert, damit sie eine dicht gepackte Struktur erhält, sodass der mittlere Atomabstand kleiner als der kinetische Durchmesser von Sauerstoff (O2) ist, der 3 Ångström beträgt. Insbesondere hat die mit Plasma behandelte Deckschicht 106 eine amorphe Struktur für eine Antioxidation. Der Plasma-Prozess wird im Hinblick darauf konfiguriert, dass die Bildung einer polykristallinen Struktur in der Deckschicht vermieden wird. Bei anderen Aspekten wird der Plasma-Prozess 226 so gesteuert und angepasst, dass der Sauerstoff (und/oder Stickstoff) nicht in die RML 104 eingebracht werden, um den Reflexionsgrad der RML 104 aufrechtzuerhalten. Bei einigen Ausführungsformen wird der Plasma-Prozess für die Deckschicht 106 unter den folgenden Bedingungen durchgeführt: Plasmaquellenleistung in dem Bereich von 300 W bis 1000 W, Plasma-Vorspannungsleistung in dem Bereich von o bis 50 W, Gasdurchsatz in dem Bereich 1 mTorr bis 10 mTorr (133 mPa bis 1,33 Pa) von 100 Ncm3/min bis 500 Ncm3/min, Kammerdruck in dem Bereich von und Dauer der Plasma-Behandlung in dem Bereich von 30 s bis 300 s.
  • Nachdem die Deckschicht mittels Sauerstoff und Stickstoff Plasma-behandelt worden ist, schrumpfen die Körner des Materials und die Deckschicht wird amorph. Dadurch entsteht eine Antioxidationssperre, wobei die amorphe Phase weniger Diffusionswege als bei einer polykristallinen Struktur bedeutet. Durch Nitrogenisieren der Deckschicht werden die Härte und auch der Ätzwiderstand erhöht. Die Plasma-behandelte Deckschicht erlangt auch eine Selbstreinigungsfunktion. Zum Beispiel hat die Plasma-behandelte Deckschicht Hydroxylradikale (·OH) und/oder Superoxid-Anionen (·O2-), die mit den Verunreinigungen (wie etwa Kohlenstoff) zu Chemikalien (wie etwa CO2) reagieren können, die sich aus der verunreinigten Oberfläche verflüchtigen.
  • Darüber hinaus steht die Dicke der Deckschicht 106 in Beziehung zum Reflexionsgrad. Die Dicke der Deckschicht 106 wird so gesteuert, dass sie so groß ist, dass die Deckschicht 106 eine Antioxidation und einen Ätzwiderstand bietet, aber so gering ist, dass der Reflexionsgrad nicht wesentlich vermindert wird. 9 zeigt verschiedene Materialien für einen entsprechenden EUV-Reflexionsgrad. 9 ist 2 ähnlich, aber sie stellt Daten von oxidierten oder nitrogenisierten Materialien dar. Die horizontale Achse stellt die Dicke t der Deckschicht mit der Einheit Nanometer (nm) dar. Die vertikale Achse stellt den Reflexionsgrad in Prozent dar. Die Bezugslinie 112 steht für einen minimalen Reflexionsgrad für die praktische Funktion der Reflexionsmaske 100 bei Verwendung in einem lithografischen Prozess. In dem vorliegenden Beispiel beträgt der minimale Reflexionsgrad 112 etwa 0,67. Die Kurve 114 stellt den Reflexionsgrad der Ru-Schicht dar, die Kurve 232 stellt den Reflexionsgrad von SiO2 dar, die Kurve 234 stellt den Reflexionsgrad von TiO2 dar, die Kurve 236 stellt den Reflexionsgrad von ZrO2 dar, und die Kurve 238 stellt den Reflexionsgrad von CrN dar. Um den minimalen Reflexionsgrad zu erreichen, hat jedes Material eine obere Dickengrenze, die der Schnittpunkt zwischen der Bezugslinie 112 und der entsprechenden Kurve ist. Die oberen Dickengrenzen sind ebenfalls in 9 angegeben. Zum Beispiel ist die Dicke von CrN kleiner als 2,61 nm, die Dicke von TiO2 ist kleiner als 3,21 nm, die Dicke von ZrO2 ist kleiner als 3,89 nm, und die Dicke von SiO2 ist kleiner als 4,16 nm. Unter Berücksichtigung von zwei entgegengesetzten Faktoren (der eine Faktor umfasst die Antioxidation und den Ätzwiderstand und der andere Faktor ist der Reflexionsgrad) liegt bei einigen Ausführungsformen die Dicke der Deckschicht 106 in dem Bereich von 2,5 nm bis 4 nm. Bei einer weiteren Ausführungsform ist die Dicke der Deckschicht 106 etwas kleiner als die entsprechende obere Dickengrenze To und liegt zum Beispiel zwischen 70 % von To und 95 % von To.
  • Kommen wir nun wieder zu 8 zurück, in der die Plasma-Behandlung der Deckschicht 106 durch die Öffnungen in der Absorptionsschicht 108 unter Verwendung der Absorptionsschicht 108 als eine Plasma-Behandlungsmaske durchgeführt wird, die wirksam verhindert, dass die bedeckten Teile der Deckschicht mit Plasma behandelt werden. Wie in 8 gezeigt ist, weist die Deckschicht 106 einen ersten Teil 106A, der nicht von der Absorptionsschicht 108 bedeckt ist, und einen zweiten Teil 106B auf, der von der Absorptionsschicht 108 bedeckt ist. Der erste Teil 106A befindet sich in den Öffnungen der Absorptionsschicht 108. Nach der Plasma-Behandlung 226 wird der erste Teil 106A verändert (oxidiert oder nitrogenisiert), während der zweite Teil 106B keiner Plasma-Dotierung unterzogen wird und daher in der Zusammensetzung von dem ersten Teil 106A verschieden ist.
  • Bei einigen weiteren Ausführungsformen hat die Reflexionsmaske in 8 eine Konfiguration, die der der Reflexionsmaske 160 von 4 ähnlich ist, und die Deckschicht 106 wird mit Plasma behandelt. In diesem Fall ist das Verfahren dem Verfahren zur Herstellung der Maske 160 ähnlich. Insbesondere wird nach der Herstellung der Absorptionsschicht 108 die Deckschicht sowohl auf der RML 104 als auch auf der Absorptionsschicht 108 abgeschieden und wird im Schritt 224 mit Plasma behandelt.
  • 10 zeigt eine Schnittansicht einer Reflexionsmaske 250 gemäß einigen Ausführungsformen. Die Reflexionsmaske 250 ist in einigen Aspekten der Reflexionsmaske 100 ähnlich und ist in anderen Aspekten von ihr verschieden. Ähnliche Beschreibungen werden nicht wiederholt. Die Reflexionsmaske 250 weist ein Substrat 102 auf. Bei den vorliegenden Ausführungsformen weist das Substrat 102 ein LTEM auf, wie etwa Quarzglas, Siliciumcarbid, Siliciumoxid-Titanoxid-Legierungen und/oder ein anderes geeignetes LTEM.
  • Die Reflexionsmaske 250 weist eine reflektierende Mehrfachschicht (RML) 252 auf, die auf dem Substrat 102 angeordnet ist. Die RML 252 wird später näher erörtert.
  • Auf der RML 252 wird eine Deckschicht 106 abgeschieden. Da die Deckschicht 106 andere Ätzeigenschaften als die Absorptionsschicht hat, bildet die Deckschicht 106 einen Schutz für die RML 252, wie etwa eine Ätzstoppschicht bei einer nachfolgenden Strukturierung oder einem Reparaturprozess an der Absorptionsschicht. Darüber hinaus ist diese Deckschicht 106 so konfiguriert, dass sie auch als eine Antioxidations-Sperrschicht zum Schützen der RML 252 vor Oxidation fungiert. Gleichzeitig vermindert die Deckschicht nicht den Grad der EUV-Reflexion von der RML 252. Bei verschiedenen Ausführungsformen ist die Deckschicht 106 eine Ru-Schicht, eine RuM-Legierung oder eine oxidierte/nitrogenisierte Schicht, wie vorstehend bei verschiedenen Ausführungsformen dargelegt worden ist.
  • Die Reflexionsmaske 250 weist eine Absorptionsschicht 108 auf, die auf der Deckschicht 106 hergestellt ist. Die Absorptionsschicht 108 wird auf der Deckschicht 106 abgeschieden und wird außerdem strukturiert, um darauf eine IC-Struktur, zum Beispiel entsprechend einem IC-Entwurfslayout, zu definieren. Die Absorptionsschicht 108 ist so konfiguriert, dass sie Strahlungslicht (wie etwa EUV-Licht) während eines lithografischen Belichtungsprozesses absorbiert.
  • Kommen wir zu der RML 252 zurück. Die RML 252 ist so konfiguriert, dass sie das auf das Substrat 102 gerichtete Strahlungslicht reflektiert. Die RML 252 weist abwechselnde Schichten aus zwei Materialien auf, die auf der Oberseite des Substrats 102 abgeschieden sind, um als ein Bragg-Reflektor zu wirken, der die Reflexion des Strahlungslichts maximiert, wie etwa von EUV-Licht mit einer Wellenlänge von 13,5 nm. Bei den vorliegenden Ausführungsformen weist die RML 252 Molybdän(Mo)-Schichten 252A und Silicium(Si)-Schichten 252B in Paaren auf. Um zum Beispiel bei der beschriebenen Ausführungsform mehr als 90 % des maximal erreichbaren Reflexionsgrads der RML 252 zu erzielen, weist die RML 252 etwa vierzig Mo/Si-Schichtpaare auf. In einem weiteren Beispiel umfassen die Mo/Si-Schichtpaare eine Siliciumschicht mit einer Dicke von 3 nm bis 5 nm (zum Beispiel etwa 4 nm) und eine Molybdänschicht mit einer Dicke von 2 nm bis 4 nm (zum Beispiel etwa 3 nm) auf. Dabei ist die oberste Schicht der RML 252 eine der Mo-Schichten 252A. Die Deckschicht 106 ist in direktem Kontakt mit der obersten Mo-Schicht 252A. Der Grund dafür ist, dass Mo weniger als Silicium mit Sauerstoff reagiert. Mit dieser Konfiguration ist die RML 252 beständiger gegen eine Beschädigung durch Oxidation. Unsere Versuchsdaten in 11 zeigen, dass diese Konfiguration den Reflexionsgrad der RML 252 aufrechterhält. 11 zeigt den Reflexionsgrad in Abhängigkeit von der Wellenlänge, während EUV-Licht mit einem Einfallswinkel von 6° wie bei der vorliegenden lithografischen EUV-Belichtung auf die Maske gerichtet wird. Die verschiedenen Kurven stellen Daten des Reflexionsgrads der RML 252 aus abwechselnden Mo/Si-Schichtpaaren mit 20, 30, 40 bzw. 60 Schichten dar.
  • 12 ist ein Ablaufdiagramm eines Verfahrens 300 zur Herstellung eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Das Verfahren 300 beginnt mit der Herstellung eines Halbleitersubstrats oder eines anderen geeigneten Substrats, das strukturiert werden soll, um darauf einen integrierten Schaltkreis herzustellen. Bei der vorliegenden Ausführungsform weist das Halbleitersubstrat Silicium auf. Alternativ oder zusätzlich weist das Halbleitersubstrat Germanium, Siliciumgermanium oder ein anderes geeignetes Halbleitermaterial auf, wie etwa Diamant, Siliciumcarbid oder Galliumarsen. Das Halbleitersubstrat kann weiterhin Strukturelemente und/oder zusätzliche Materialschichten aufweisen, wie etwa verschiedene Trennungsstrukturen, die in dem Substrat hergestellt sind. Das Halbleitersubstrat kann verschiedene p-dotierte Bereiche und/oder n-dotierte Bereiche aufweisen, die so konfiguriert und verbunden sind, dass verschiedene Bauelemente und funktionelle Strukturelemente entstehen. Alle Dotierungselemente können mit einem geeigneten Prozess hergestellt werden, wie etwa Ionenimplantation in verschiedenen Schritten und Verfahren. Das Halbleitersubstrat kann weitere Strukturelemente aufweisen, wie etwa STI-Strukturelemente (STI: flache Grabenisolation). Das Halbleitersubstrat kann außerdem einen Teil einer Verbindungsstruktur aufweisen, die Folgendes umfasst: Metallleitungen in verschiedenen Metallschichten, Durchkontaktierungselemente zum Herstellen einer vertikalen Verbindung zwischen den Metallleitungen in den benachbarten Metallschichten, und Kontaktelemente zum Herstellen einer vertikalen Verbindung zwischen den Metallleitungen in der ersten Metallschicht und verschiedenen Bauelementstrukturen (wie etwa Gates, Sources und Drains) auf dem Substrat.
  • Das Verfahren 300 umfasst einen Schritt 302 zur Herstellung einer Materialschicht über dem Halbleitersubstrat (oder einem anderen geeigneten Substrat). Bei einer beispielhaften Ausführungsform weist die Materialschicht ein dielektrisches Material, wie etwa ein Zwischenschicht-Dielektrikum (ILD), auf, um darin leitende Strukturelemente (z. B. Metallleitungen, Durchkontaktierungen oder Kontakte) herzustellen. Die ILD-Schicht kann Siliciumoxid oder ein dielektrisches Low-k-Material (mit einer Dielektrizitätskonstante, die kleiner als die von thermischem Siliciumoxid ist) aufweisen. Die ILD-Schicht kann eine oder mehrere dielektrische Schichten umfassen. Die ILD-Schicht kann durch chemische Aufdampfung (CVD), Aufschleudern oder ein anderes geeignetes Verfahren auf dem Halbleitersubstrat hergestellt werden. Alternativ kann die Materialschicht ein anderes zu strukturierendes Material aufweisen. Zum Beispiel kann die Materialschicht ein leitendes Material, wie etwa dotiertes Polysilicium, ein Metall oder eine Metalllegierung, aufweisen, die strukturiert wird, um Gate-Elektroden für die Feldeffekttransistoren in dem integrierten Schaltkreis herzustellen.
  • Das Verfahren 300 geht zu einem Schritt 304 weiter, in dem eine Fotoresistschicht über der Materialschicht hergestellt wird. Während einer nachfolgenden fotolithografischen Belichtung reagiert die Fotoresistschicht auf die Strahlung von der Belichtungsquelle. Bei der vorliegenden Ausführungsform ist die Fotoresistschicht für EUV-Licht empfindlich, das bei der fotolithografischen Belichtung verwendet wird. Die Fotoresistschicht kann durch Aufschleudern oder ein anderes geeignetes Verfahren über der Materialschicht hergestellt werden. Die aufgebrachte Fotoresistschicht kann dann gehärtet werden, um das Lösungsmittel in der Fotoresistschicht auszutreiben.
  • Das Verfahren 300 geht zu einem Schritt 306 weiter, in dem die Fotoresistschicht unter Verwendung der EUV-Maske strukturiert wird. Die EUV-Maske ist eine Reflexionsmaske, die für die lithografische EUV-Belichtung konfiguriert ist und eine Deckschicht mit einer starken Antioxidation hat. Bei verschiedenen Ausführungsformen ist die EUV-Maske die Maske 100, die in 1 dargestellt ist, die Maske 150 von 3, die Maske 160 von 4, die Maske 230 von 8 oder die Maske 250 von 10.
  • Die Strukturierung der Fotoresistschicht umfasst das Durchführen eines fotolithografischen Belichtungsprozesses mittels einer EUV-Belichtungsanlage unter Verwendung der EUV-Maske. Während des Belichtungsprozesses wird die IC-Entwurfsstruktur, die auf der EUV-Maske definiert ist, auf die Fotoresistschicht abgebildet, um darauf eine latente Struktur herzustellen. Die Strukturierung der Fotoresistschicht umfasst weiterhin das Entwickeln der belichteten Fotoresistschicht, um eine strukturierte Fotoresistschicht herzustellen, die eine oder mehrere Öffnungen hat. Bei einer Ausführungsform, bei der die Fotoresistschicht eine Positivton-Fotoresistschicht ist, werden die belichteten Teile der Fotoresistschicht während des Entwicklungsprozesses entfernt. Die Strukturierung der Fotoresistschicht kann außerdem weitere Prozessschritte umfassen, wie etwa verschiedene Härtungsschritte auf verschiedenen Stufen. Zum Beispiel kann eine Härtung nach der Belichtung (post-exposure baking; PEB) nach dem fotografischen Belichtungsprozess und vor dem Entwicklungsprozess durchgeführt werden.
  • Das Verfahren 300 geht zu einem Schritt 308 weiter, in dem die Materialschicht unter Verwendung der strukturierten Fotoresistschicht strukturiert wird. Bei einer Ausführungsform umfasst die Strukturierung der Materialschicht das Ätzen der Materialschicht unter Verwendung der strukturierten Fotoresistschicht als eine Ätzmaske. Die Teile der Materialschicht, die in den Öffnungen der strukturierten Fotoresistschicht freiliegen, werden geätzt, während die übrigen Teile vor der Ätzung geschützt sind. Bei der vorliegenden Ausführungsform werden in dem Schritt 308 verschiedene Gräben in der ILD-Schicht hergestellt.
  • Das Verfahren 300 kann weitere Bearbeitungsschritte umfassen. Zum Beispiel kann die strukturierte Fotoresistschicht nach dem Schritt 308 durch Nass-Strippen oder durch Plasma-Einwirkung entfernt werden. In einem weiteren Beispiel werden ein oder mehrere leitende Materialien (wie etwa durch Abscheidung und Polierung) in die Gräben der ILD-Schicht gefüllt, um entsprechende leitende Strukturelemente (wie etwa Metallleitungen) für die elektrische Leitungsführung herzustellen.
  • Bei einer alternativen Ausführungsform kann das Verfahren 300 die Schritte 304, 306 und 308 umfassen, um dotierte Strukturelemente in dem Halbleitersubstrat herzustellen. In diesem Fall wird die strukturierte Fotoresistschicht, die mit den Schritten 304 und 306 hergestellt worden ist, als eine Ionenimplantationsmaske verwendet, und der Schritt 308 umfasst das Durchführen eines Ionenimplantationsprozesses an dem Halbleitersubstrat. In dem Ionenimplantationsprozess werden Dotandenspezies durch die Öffnungen in der strukturierten Fotoresistschicht in das Halbleitersubstrat eingebracht.
  • Die vorliegende Erfindung stellt eine Reflexionsmaske und ein Verfahren zu deren Herstellung gemäß verschiedenen Ausführungsformen zur Verfügung. Bei einigen Ausführungsformen weist die Reflexionsmaske eine Deckschicht auf, die eine amorphe Struktur mit einem mittleren Atomabstand hat, der kleiner als der kinetische Durchmesser von Sauerstoff (O2) ist. Zum Beispiel weist die Deckschicht eine RuPt-Legierung auf. In weiteren Beispielen weist die Deckschicht ein oxidiertes oder nitrogenisiertes Titan-, Silicium- oder Zirconium-Material auf. Die Reflexionsmaske hat ein starkes Antioxidationsvermögen und einen hohen Ätzwiderstand, ohne den EUV-Reflexionsgrad zu vermindern. In der Deckschicht, die mittels Sauerstoff und Stickstoff Plasma-behandelt worden ist, schrumpfen die Körner des Materials, und die Deckschicht erhält eine amorphe Struktur. Die amorphe Struktur bedeutet weniger Diffusionswege als bei einer polykristallinen Struktur, und sie funktioniert als eine Antioxidationssperre. Darüber hinaus werden durch das Nitrogenisieren der Deckschicht die Härte und auch der Ätzwiderstand erhöht. Bei weiteren Aspekten haben sich Titanoxid und Zinkoxid als sehr gute Fotokatalysatoren mit einer Langzeitbeständigkeit, kostengünstigen Herstellung und einem ausreichend starken Oxidationsvermögen erwiesen, das für die Zersetzung von organischen Verunreinigungen zweckmäßig ist. Diese Eigenschaften können für Glas, Fliesen, Filter und viele andere Materialien genutzt werden, um Selbstreinigungs-, Desodorierungs-, Selbststerilisierungs-, Schleierverhütungs-, Bewuchsverhinderungs- und Luftreinigungs-Funktionen zu ermöglichen. Diese Eigenschaften beruhen auf den durch Licht erzeugten aktiven Sauerstoffspezies, die Hydroxylradikale (·OH) und Superoxid-Anionen (·O2-) haben.
  • Somit stellt die vorliegende Erfindung eine Reflexionsmaske gemäß einigen Ausführungsformen zur Verfügung. Die Reflexionsmaske weist Folgendes auf: ein Substrat; eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist; eine Antioxidations-Sperrschicht, die auf der reflektierenden Mehrfachschicht und der Antioxidations-Sperrschicht angeordnet ist und eine amorphe Struktur mit einem mittleren Atomabstand hat, der kleiner als der Durchmesser von Sauerstoff ist; und eine Absorptionsschicht, die auf der Antioxidations-Sperrschicht angeordnet ist und entsprechend einem Layout eines integrierten Schaltkreises strukturiert ist. Die vorliegende Erfindung stellt außerdem eine Reflexionsmaske gemäß einigen weiteren Ausführungsformen zur Verfügung. Die Reflexionsmaske weist Folgendes auf: ein Substrat aus einem Material mit einer geringen thermischen Ausdehnung; eine reflektierende Mehrfachschicht, die auf dem Substrat hergestellt ist, wobei die reflektierende Mehrfachschicht eine Vielzahl von abwechselnden Molybdän-Silicium(Mo/Si)-Schichten mit einer oberen Mo-Schicht aufweist; eine Deckschicht aus einem Material, das auf der reflektierenden Mehrfachschicht hergestellt ist, wobei die Deckschicht auf der oberen Mo-Schicht der reflektierenden Mehrfachschicht angeordnet ist und mit der oberen Mo-Schicht in direktem Kontakt ist; und eine Absorptionsschicht, die auf der Deckschicht angeordnet ist und entsprechend einem Layout eines integrierten Schaltkreises strukturiert ist.
  • Die vorliegende Erfindung stellt ein Verfahren zur Herstellung einer Reflexionsmaske gemäß einigen Ausführungsformen zur Verfügung. Das Verfahren weist die folgenden Schritte auf: Herstellen einer reflektierenden Mehrfachschicht auf einem Substrat aus einem Material mit einer geringen thermischen Ausdehnung; Herstellen einer Deckschicht aus einem Material, das aus der Gruppe Titan, Silicium und Zirconium gewählt ist; Herstellen einer Absorptionsschicht auf der Deckschicht; Strukturieren der Absorptionsschicht entsprechend einem Layout eines integrierten Schaltkreises, wodurch eine Öffnung in der Absorptionsschicht hergestellt wird, wobei ein erster Teil der Deckschicht in der Öffnung nicht von der Absorptionsschicht bedeckt ist; und Durchführen eines Plasma-Prozesses an der Deckschicht durch die Öffnung unter Verwendung eines Gases, das Sauerstoff und/oder Stickstoff enthält, wobei der erste Teil der Deckschicht dadurch mit dem Sauerstoff und/oder dem Stickstoff dotiert wird.
  • Die vorliegende Erfindung stellt außerdem ein Verfahren zur Herstellung eines integrierten Schaltkreises gemäß einigen Ausführungsformen zur Verfügung. Das Verfahren weist die folgenden Schritte auf: Herstellen einer Fotoresistschicht über einem Halbleitersubstrat und Durchführen eines fotolithografischen Prozesses an der Fotoresistschicht unter Verwendung einer Fotomaske. Die Fotomaske weist Folgendes auf: ein Substrat aus einem Material mit einer geringen thermischen Ausdehnung; eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist; eine Antioxidations-Sperrschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist; und eine Absorptionsschicht, die auf der Antioxidations-Sperrschicht angeordnet ist und entsprechend einem Layout eines integrierten Schaltkreises strukturiert ist. Die Antioxidations-Sperrschicht hat eine amorphe Struktur mit einem mittleren Atomabstand, der kleiner als der Durchmesser von Sauerstoff ist. Bei einigen Ausführungsformen weist die Antioxidations-Sperrschicht eine Legierung aus Ruthenium und Platin auf. Bei einigen weiteren Ausführungsformen weist die Antioxidations-Sperrschicht mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium auf, das mit Sauerstoff und/oder Stickstoff dotiert ist.

Claims (17)

  1. Reflexionsmaske mit: einem Substrat (102); einer reflektierenden Mehrfachschicht (104), die auf dem Substrat angeordnet ist; einer Antioxidations-Sperrschicht (106), die auf der reflektierenden Mehrfachschicht (104) angeordnet ist und eine amorphe Struktur mit einem mittleren Atomabstand hat, der kleiner als ein kinetischer Durchmesser von Sauerstoff (O2) ist; und einer Absorptionsschicht (108), die auf der Antioxidations-Sperrschicht (106) angeordnet ist und entsprechend einem Layout eines integrierten Schaltkreises strukturiert ist; wobei die Antioxidations-Sperrschicht (106) mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium aufweist, die Antioxidations-Sperrschicht (106) einen ersten Teil, der von der Absorptionsschicht (108) bedeckt ist, und einen zweiten Teil, der nicht von der Absorptionsschicht (108) bedeckt ist, aufweist, der erste Teil der Antioxidations-Sperrschicht (106) mit Sauerstoff und/oder Stickstoff dotiert ist und der zweite Teil der Antioxidations-Sperrschicht (106) weder mit Sauerstoff noch mit Stickstoff dotiert ist.
  2. Reflexionsmaske nach Anspruch 1, wobei der mittlere Atomabstand der Antioxidations-Sperrschicht (106) kleiner als 3 Ångström ist.
  3. Reflexionsmaske nach Anspruch 1 oder 2, wobei die Antioxidations-Sperrschicht (106) einen Reflexionsgrad hat, der größer als 0,67 ist für extremes Ultraviolettlicht (EUV-Licht).
  4. Reflexionsmaske nach einem der vorhergehenden Ansprüche, wobei die Antioxidations-Sperrschicht (106) eine Legierung aus Ruthenium und Platin (RuPt-Legierung) aufweist.
  5. Reflexionsmaske nach Anspruch 4, wobei die RuPt-Legierung der Antioxidations-Sperrschicht (106) ein Massenverhältnis Ru: Pt in dem Bereich von 1:1 bis 3,5: 1 hat.
  6. Reflexionsmaske nach einem der vorhergehenden Ansprüche, wobei das Substrat (102) ein Material mit einer geringen thermischen Ausdehnung (LTEM) aufweist und das LTEM aus der Gruppe Kieselglas, Titanoxid (TiO2), dotiertes Kieselglas (SiO2), Quarzglas, Calciumfluorid (CaF2) und Kombinationen davon gewählt ist.
  7. Reflexionsmaske nach einem der vorhergehenden Ansprüche, wobei die reflektierende Mehrfachschicht (104) eine Vielzahl von abwechselnden Molybdän-Silicium(Mo/Si)-Schichten aufweist.
  8. Reflexionsmaske nach einem der vorhergehenden Ansprüche, wobei die reflektierende Mehrfachschicht (104) eine Vielzahl von abwechselnden Molybdän-Beryllium(Mo/Be)-Schichten aufweist.
  9. Reflexionsmaske nach einem der vorhergehenden Ansprüche, wobei die Absorptionsschicht (108) ein Material aufweist, das aus der Gruppe Tantalbornitrid (TaBN), Chrom (Cr), Chromoxid (CrO), Titannidrid (TiN), Tantalnitrid (TaN), Tantal (Ta), Titan (Ti) oder Aluminiumkupfer (Al-Cu), Palladium, Aluminiumoxid (AlO) und Molybdän (Mo) gewählt ist.
  10. Reflexionsmaske nach einem der vorhergehenden Ansprüche, die weiterhin eine Schutzschicht (110) aufweist, die auf der Absorptionsschicht hergestellt ist, wobei die Schutzschicht und die Absorptionsschicht (108) beide entsprechend einem Layout eines integrierten Schaltkreises strukturiert sind.
  11. Reflexionsmaske nach einem der vorhergehenden Ansprüche, wobei die Antioxidations-Sperrschicht (106) eine Legierung aus Ruthenium und einem Metall aufweist, das aus der Gruppe Po, Hg, Os, Rh, Pd, Ir und Pt gewählt ist.
  12. Reflexionsmaske mit: einem Substrat (102) aus einem Material mit einer geringen thermischen Ausdehnung; einer reflektierenden Mehrfachschicht (104), die auf dem Substrat hergestellt ist, wobei die reflektierende Mehrfachschicht (104) eine Vielzahl von abwechselnden Molybdän-Silicium(Mo/Si)-Schichten mit einer oberen Mo-Schicht aufweist; einer Deckschicht (106) aus einem Material, das auf der reflektierenden Mehrfachschicht (104) abgeschieden ist, wobei die Deckschicht (106) auf der oberen Mo-Schicht der reflektierenden Mehrfachschicht (104) angeordnet ist und mit der oberen Mo-Schicht in direktem Kontakt ist, wobei das Material der Deckschicht mindestens ein Element aus der Gruppe Titan, Silicium und Zirconium aufweist; und einer Absorptionsschicht (108), die auf der Deckschicht (106) angeordnet ist und entsprechend einem Layout eines integrierten Schaltkreises strukturiert ist, wobei die Absorptionsschicht (108) eine Öffnung aufweist, die Deckschicht (106) einen ersten Teil in der Öffnung und einen zweiten Teil aufweist, der von der Absorptionsschicht (108) bedeckt ist, und der erste Teil der Deckschicht (106) mit Sauerstoff und/oder Stickstoff dotiert ist und der zweite Teil der Deckschicht (106) weder mit Sauerstoff noch mit Stickstoff dotiert ist.
  13. Reflexionsmaske nach Anspruch 12, wobei das Material der Deckschicht (106) Ruthenium (Ru) aufweist.
  14. Reflexionsmaske nach Anspruch 12 oder 13, wobei das Material der Deckschicht (106) eine Legierung aus Ruthenium und Platin (RuPt-Legierung) aufweist und die RuPt-Legierung ein Massenverhältnis Ru : Pt in dem Bereich von 1 bis 3,5 : 1 hat.
  15. Reflexionsmaske nach Anspruch 12 oder 13, wobei das Material der Deckschicht (106) eine Legierung aus Ruthenium und einem Metall aufweist, das aus der Gruppe Po, Hg, Os, Rh, Pd, Ir und Pt gewählt ist.
  16. Verfahren zur Herstellung einer Reflexionsmaske, mit den folgenden Schritten: Herstellen einer reflektierenden Mehrfachschicht auf einem Substrat; Herstellen einer Deckschicht oder Antioxidations-Sperrschicht (106) auf der reflektierenden Mehrfachschicht (104) aus einem Material, das aus der Gruppe Titan, Silicium und Zirconium gewählt ist; Herstellen einer Absorptionsschicht auf der Deckschicht oder Antioxidations-Sperrschicht (106); Strukturieren der Absorptionsschicht (108) entsprechend einem Layout eines integrierten Schaltkreises, wodurch eine Öffnung in der Absorptionsschicht (108) hergestellt wird, wobei ein erster Teil der Deckschicht oder Antioxidations-Sperrschicht (106) in der Öffnung nicht von der Absorptionsschicht (108) bedeckt ist; und Durchführen eines Plasma-Prozesses an der Deckschicht oder Antioxidations-Sperrschicht (106) durch die Öffnung unter Verwendung eines Gases, das Sauerstoff und/oder Stickstoff enthält, wobei der erste Teil der Deckschicht oder Antioxidations-Sperrschicht (106) dadurch mit dem Sauerstoff und/oder dem Stickstoff dotiert wird.
  17. Verfahren nach Anspruch 16, wobei das Durchführen des Plasma-Prozesses an der Deckschicht oder Antioxidations-Sperrschicht (106) unter den folgenden Bedingungen durchgeführt wird: Plasmaquellenleistung in dem Bereich von 300 W bis 1000 W, Plasma-Vorspannungsleistung in dem Bereich von o bis 50 W, Gasdurchsatz in dem Bereich von 100 Ncm3/min bis 500 Ncm3/min, Kammerdruck in dem Bereich von 1 mTorr bis 10 mTorr (133 mPa bis 1,33 Pa) und Dauer der Plasma-Behandlung in dem Bereich von 30 s bis 300 s.
DE102016114882.2A 2016-06-01 2016-08-11 Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung Active DE102016114882B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/169,953 2016-06-01
US15/169,953 US10061191B2 (en) 2016-06-01 2016-06-01 High durability extreme ultraviolet photomask

Publications (2)

Publication Number Publication Date
DE102016114882A1 DE102016114882A1 (de) 2017-12-07
DE102016114882B4 true DE102016114882B4 (de) 2021-03-18

Family

ID=60327971

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016114882.2A Active DE102016114882B4 (de) 2016-06-01 2016-08-11 Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung

Country Status (4)

Country Link
US (3) US10061191B2 (de)
CN (1) CN107452602B (de)
DE (1) DE102016114882B4 (de)
TW (1) TWI639883B (de)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
TWI730139B (zh) 2016-07-27 2021-06-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI763686B (zh) 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
KR102402767B1 (ko) * 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11048158B2 (en) * 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
US11360384B2 (en) * 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202037742A (zh) 2019-03-01 2020-10-16 美商應用材料股份有限公司 物理氣相沉積系統與處理
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11448956B2 (en) 2019-09-05 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask
US20210146400A1 (en) * 2019-11-15 2021-05-20 The Boeing Company Intumescent directed energy protection
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI768718B (zh) * 2020-04-28 2022-06-21 台灣積體電路製造股份有限公司 製造半導體元件的方法
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11442356B2 (en) 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer
US11829062B2 (en) * 2020-05-22 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. EUV photo masks and manufacturing method thereof
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20230109644A (ko) * 2020-12-03 2023-07-20 에이지씨 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크, euv 리소그래피용 반사형 마스크 및 그들의 제조 방법
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US20230032950A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Euv photo masks and manufacturing method thereof
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10223113A1 (de) * 2002-05-21 2003-12-18 Infineon Technologies Ag Photolithographische Maske und Verfahren zu deren Herstellung
US20040091789A1 (en) * 2002-11-08 2004-05-13 Han Sang-In Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US20150107617A1 (en) * 2013-10-17 2015-04-23 Samsung Electronics Co., Ltd. Method of cleaning photomask
US20150261082A1 (en) * 2013-03-15 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for Reflective-Type Mask
US20160011344A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2812450B1 (fr) * 2000-07-26 2003-01-10 France Telecom Resine, bi-couche de resine pour photolithographie dans l'extreme ultraviolet (euv) et procede de photolithogravure en extreme ultraviolet (euv)
US6869734B1 (en) * 2002-07-31 2005-03-22 Advanced Micro Devices, Inc. EUV reflective mask having a carbon film and a method of making such a mask
JP4300930B2 (ja) * 2003-08-07 2009-07-22 凸版印刷株式会社 極限紫外線露光用マスク及びブランク並びにパターン転写方法
KR100604938B1 (ko) * 2005-05-27 2006-07-28 삼성전자주식회사 극자외선 노광용 반사마스크 및 그 제조방법
TWM391119U (en) 2010-06-02 2010-10-21 Formosa Mask Co Ltd Photo-mask having barcode
WO2012005524A2 (en) 2010-07-08 2012-01-12 Lg Innotek Co., Ltd. The printed circuit board and the method for manufacturing the same
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
TWI459875B (zh) 2012-04-20 2014-11-01 Far Eastern New Century Corp A method for preparing a circuit board having a patterned conductive layer
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8658333B2 (en) 2012-06-04 2014-02-25 Nanya Technology Corporation Reflective mask
US8663878B2 (en) * 2012-07-05 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
KR101713382B1 (ko) * 2013-11-22 2017-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10223113A1 (de) * 2002-05-21 2003-12-18 Infineon Technologies Ag Photolithographische Maske und Verfahren zu deren Herstellung
US20040091789A1 (en) * 2002-11-08 2004-05-13 Han Sang-In Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US20150261082A1 (en) * 2013-03-15 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for Reflective-Type Mask
US20150107617A1 (en) * 2013-10-17 2015-04-23 Samsung Electronics Co., Ltd. Method of cleaning photomask
US20160011344A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof

Also Published As

Publication number Publication date
US20200264503A1 (en) 2020-08-20
US10061191B2 (en) 2018-08-28
TWI639883B (zh) 2018-11-01
US11003069B2 (en) 2021-05-11
US20180373138A1 (en) 2018-12-27
TW201743127A (zh) 2017-12-16
DE102016114882A1 (de) 2017-12-07
US20170351169A1 (en) 2017-12-07
CN107452602B (zh) 2020-02-21
CN107452602A (zh) 2017-12-08
US10642148B2 (en) 2020-05-05

Similar Documents

Publication Publication Date Title
DE102016114882B4 (de) Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung
DE10164189B4 (de) Halbton-Phasenverschiebungsmaske und -maskenrohling
DE10123768C2 (de) Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske
DE102011122937B3 (de) Verfahren zum Herstellen einer Übertragungsmaske und Verfahren zum Herstellen eines Halbleiterbauelements
DE102007028800A1 (de) Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
DE102014222028A1 (de) Maskenstrukturen und Herstellungsverfahren
DE2057929C3 (de) Transparente Fotomaske
DE10223113B4 (de) Verfahren zur Herstellung einer photolithographischen Maske
DE102009060677A1 (de) Fertigungsverfahren für Photomaskenrohling und Fertigungsverfahren für Photomaske
DE102011076011A1 (de) Reflektives optisches Element und optisches System für die EUV-Lithographie
DE10156366B4 (de) Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
DE102013108872B4 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
EP1278094A2 (de) Geometrischer Strahlteiter und Verfahren zu seiner Herstellung
DE102022114292A1 (de) Rohmaske und fotomaske unter verwendung dieser rohmaske
DE112004002199B4 (de) Verfahren zur Herstellung einer Extrem-Ultraviolettstrahlung reflektierenden Maske unter Verwendung von Rasterkraftmikroskop-Lithographie
DE10349087B4 (de) Verfahren zur Herstellung von Halbton-Phasenverschiebungsmasken-Rohlingen
DE102009053586A1 (de) Photomaskenrohling, Herstellungsverfahren für Photomaskenrohling und Herstellungsverfahren für Photomaske
DE202011003479U1 (de) Strukturierte Siliziumschicht für ein optoelektronisches Bauelement und optoelektronisches Bauelement
DE102020102450B4 (de) EUV-Fotomasken und Herstellungsverfahren von diesen
DE10235255B4 (de) Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren
DE102022102031A1 (de) Pellikel für eine euv-lithografiemaske und ein verfahren zu dessen herstellung
DE102020129846A1 (de) Euv-fotomasken und herstellungsverfahren dafür
DE102020112776A1 (de) Maske für extremes-ultraviolett-fotolithografie
DE102020114854A1 (de) Verfahren zum reinigen eines substrats
WO2014135537A1 (de) Kollektorspiegel für eine euv-lithographievorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final