DE10123768C2 - Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske - Google Patents

Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske

Info

Publication number
DE10123768C2
DE10123768C2 DE10123768A DE10123768A DE10123768C2 DE 10123768 C2 DE10123768 C2 DE 10123768C2 DE 10123768 A DE10123768 A DE 10123768A DE 10123768 A DE10123768 A DE 10123768A DE 10123768 C2 DE10123768 C2 DE 10123768C2
Authority
DE
Germany
Prior art keywords
layer
reflection
absorber
mask
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10123768A
Other languages
English (en)
Other versions
DE10123768A1 (de
Inventor
Siegfried Schwarzl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10123768A priority Critical patent/DE10123768C2/de
Priority to US10/147,543 priority patent/US6872495B2/en
Publication of DE10123768A1 publication Critical patent/DE10123768A1/de
Application granted granted Critical
Publication of DE10123768C2 publication Critical patent/DE10123768C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof

Description

Die Erfindung geht aus von einem Verfahren zur Herstellung einer lithographischen Reflexionsmaske, insbesondere für Strukturgrößen unter 100 nm nach der Gattung der nebengeord­ neten Ansprüche 1 und 29. Es ist schon bekannt, zur Herstel­ lung insbesondere von Halbleiterchips auf einem Silizium-Wa­ fer (Wafer) Reflexionsmasken zu verwenden, die die Strukturen enthalten, die auf den Wafer projiziert werden. Die Halblei­ terindustrie bemüht sich zur Optimierung und Reduzierung von Herstellungskosten die Strukturgrößen auf den Halbleiterchips so klein wie möglich zu machen. Durch die Verkleinerung der Strukturen gelingt es, mehr Transistorfunktionen auf kleins­ tem Raum zu realisieren.
Mit heutigen optischen Lithographieverfahren gelingt es, Strukturgrößen von ca. 100 nm fertigungstechnisch zu beherr­ schen. Ziele für die nächsten Jahre sind jedoch, diese Struk­ turgrößen noch erheblich zu verkleinern, beispielsweise wer­ den für kleinste Strukturen Linienbreiten von 35-70 nm ange­ strebt. Für diese kleinen Strukturen ist das heutige optische Lithographieverfahren, bei dem beispielsweise Wellenlängen von 157 nm verwendet werden, nicht mehr anwendbar, da für diese Wellenlänge mit einer vermutlich erzielbaren Auflösung von ca. 70 nm eine technisch und wirtschaftlich bedingte Grenze erreicht wird.
Um kleinere Strukturgrößen zu erreichen wurde bereits vorge­ schlagen, eine kurzwelligere Strahlung insbesondere im extre­ men ultravioletten Bereich (EUV-Strahlung) zu verwenden. Be­ kannt geworden ist ein Extreme Ultraviolet Lithography-Ver­ fahren (EUVL-Verfahren), bei dem eine weiche Röntgenstrahlung im Bereich von 10 nm bis 14 nm verwendet wird. Da für diese Strahlung keine refraktiven Materialien (Linsen) existieren, werden in den entsprechenden Belichtungssystemen (Stepper, Scanner) für den Illuminator, die Abbildungsoptik und die Maske mehrlagenbeschichtete Reflexionselemente verwendet. Die Strukturen auf der Reflexionsmaske werden durch schräg auf die Maske einfallende und dort reflektierte EUV-Strahlung und eine Mehrspiegeloptik verkleinert auf dem Wafer abgebildet.
Ein solches EUVL-Verfahren ist beispielsweise aus der Veröf­ fentlichung John E. Bjorkholm, EIN Lithography - The Succes­ sor to Optical Lithography?, Intel Technology Journal, 3rd Quarter 1998 bekannt. In dieser Veröffentlichung wird ein 4- Spiegel-Projektionssystem vorgeschlagen, das für ultravio­ lette Strahlung mit einer Wellenlänge von 10-14 nm einge­ setzt wird. Für erste Versuche wurde ein Schwarzschild-Spie­ gelsystem unter schräger Beleuchtung der Reflexionsmaske ver­ wendet, um Abschattungen der EUV_Strahlung durch die Spiegel zu verhindern. Dadurch werden nur kleine Spiegelteilflächen außerhalb der optischen Achse ausgenutzt, so dass sich eine effektive numerische Apertur (NA) von ungefähr 0,07 ergibt. Die auf der Maske befindlichen Strukturen werden dabei um den Faktor 10 verkleinert auf dem Wafer abgebildet.
Bekannt geworden sind des weiteren zwei Herstellverfahren für eine EUVL-Maske, die mit einem Absorberätzprozeß beziehungs­ weise mit der sogenannten 'Damascene'-Methode strukturiert werden. Bei diesen beiden Verfahren wird von einem Maskensub­ strat ausgegangen, auf das zunächst eine Mehrlagenschicht (Multilayer-Schicht) als Reflexionsschicht aufgebracht ist. Auf diese Mehrlagenschicht wird beim zuerst genannten Verfah­ ren eine Pufferschicht abgeschieden, auf die dann eine Absor­ berschicht abgeschieden wird. Durch Elektronenstrahl-Litho­ grafie mit entsprechenden per se bekannten Ätzverfahren wer­ den die Strukturen auf die Absorberschicht beziehungsweise Pufferschicht übertragen.
Beim zweiten genannten Verfahren wird die Mehrlagenschicht elektronenlithografisch und unter Zuhilfenahme anisotroper Ätzverfahren strukturiert. Die so entstandenen Vertiefungen in der Mehrlagenschicht werden durch Abscheidung einer Absor­ berschicht und nachfolgendes Polieren mit Absorbermaterial vollständig aufgefüllt ('Damaszener-Technik').
Die nach den oben geschilderten Verfahren entstandenen struk­ turierten Maskenblanks dienen dann später bei beiden Verfah­ ren als Abbildungsgegenstände, die verkleinert auf den Wafer projiziert werden. Je nach dem verwendeten Herstellverfahren wird dabei die nach der Mehrlagenschicht abgeschiedene Absor­ berschicht vollständig in die Reflexionsschicht eingefügt oder über derselben angeordnet.
Bei den oben genannten beiden Verfahren ist jedoch nachtei­ lig, dass durch Abschattungseffekte der über der Reflexions­ schicht liegenden Strukturen, die von der Absorber- und Puf­ ferschicht verursacht werden, störende Abbildungsfehler (Strukturbreitenveränderungen und Strukturverschiebungen) verursacht bzw. verstärkt werden.
Weiterhin ist ungünstig, dass bei Schrägeinstrahlung asymmet­ rische Intensitätsprofile der reflektierten Strahlung entste­ hen. Diese werden dadurch verstärkt, dass ein Teil der re­ flektierten Strahlung unter die Puffer- und Absorberschicht gelangt und dort absorbiert wird und somit zu asymmetrischen Resist-Strukturen auf dem Wafer führen kann.
Ein weiteres Problem wird darin gesehen, dass durch unter­ schiedliche thermische Ausdehnungskoeffizienten der Masken- Materialien Temperaturgradienten sowohl bei der Abscheidung der Materialien als auch beim Betrieb entstehen, die mechani­ sche Spannungen und Verzerrungen erzeugen und die ebenfalls zu Strukturveränderungen führen können. Außerdem können diese Spannungen auch zu Strukturveränderungen in der Mehrlagenschicht führen und dabei die Reflektivität der Mehrlagen­ schicht verändern.
Aus EP 0 279 670 A2 ist eine Reflexionsmaske bekannt, mit der eine Struktur auf einem Halbleiterwafer mit weichen Röntgen­ strahlen hergestellt werden kann. Die Reflexionsmaske weist eine Reflexionsfläche auf, die aus einem mehrschichtigen Film aufgebaut ist. Zur Erzeugung von nicht-reflektierenden Berei­ chen wird die Reflexionsschicht selbst strukturiert. In den Bereichen, in denen keine Reflexionsschicht angeordnet ist, werden die Röntgenstrahlen absorbiert. In einer weiteren Aus­ führungsform ist eine Reflexionsschicht beschrieben, auf der nicht-reflektierende Muster aufgebracht sind.
Das erfindungsgemäße Verfahren zur Herstellung einer litho­ graphischen Reflexionsmaske, insbesondere für kleinste Struk­ turgrößen unter 100 nm, mit den kennzeichnenden Merkmalen der nebengeordneten Ansprüche 1 beziehungsweise 29 hat demgegen­ über den konstruktiven Vorteil, dass die Absorberschicht auf der Oberseite des Substrates unterhalb der Reflexionsschicht und/oder auf den Seitenflächen der Reflexionsschicht aufge­ bracht wird. Durch die geänderte Anordnung von Reflexions­ schicht und Absorberschicht werden die oben genannten Nach­ teile wie die unerwünschten Abschattungseffekte weitgehend vermieden, da nun die strukturierte Reflexionsschicht unge­ stört und abbildgetreu auf den Wafer projiziert werden kann. Die Absorberschicht kann aufgrund ihrer speziellen Anordnung unter der Reflexionsschicht beziehungsweise an den Seitenwän­ den der Reflexionsschicht keine oder nur stark reduzierte Ab­ schattungseffekte erzeugen. Als besonders vorteilhaft wird dabei angesehen, dass auch keine asymmetrischen oder wesent­ lich weniger asymmetrische Intensitätsprofile der reflektier­ ten Strahlung entstehen, so dass es praktisch nicht zu uner­ wünschten asymmetrischen Resist-Strukturen auf dem Wafer kom­ men kann. Eine derart aufgebaute Reflexionsmaske unterstützt einen stabilen Projektionsprozeß, der im fertigungstechnischen Einsatz vorteilhaft eine hohe Zuverlässigkeit bei der Strukturierung des Wafers gewährleistet.
Weiterhin wird als besonders vorteilhaft angesehen, dass De­ fektreparaturen in all denjenigen Fällen ohne Degradation der Reflektorschicht durchgeführt werden können, in denen Reste, Brücken, Partikel usw. zu nicht aufgelösten Strukturen führ­ ten. Diese Defekte liegen im Zwischenraum zwischen den in der Reflektorschicht erzeugten Strukturen auf nicht-sensitivem Untergrund, beispielsweise dem Maskensubstrat oder der unten liegenden Absorberschicht. Bei den bekannten Herstellverfah­ ren für Reflexionsmasken ist dieses nicht gegeben. Da wirken sich Defekte oder Partikel als massive Fehler aus, da sie in der Regel nicht ohne Schädigung der Reflektorschicht entfernt werden können.
Durch die in den abhängigen Ansprüchen aufgeführten Maßnahmen sind vorteilhafte Weiterbildungen und Verbesserungen des im Hauptanspruch angegebenen Verfahrens zur Herstellung einer lithographischen Reflexionsmaske beziehungsweise der Reflexi­ onsmaske gegeben.
Als besonders vorteilhaft wird dabei angesehen, dass die Re­ flexionsschicht eine Mehrlagenschicht ist, wobei jeweils zwei benachbarte Lagen einer Doppelschicht unterschiedliche Streu- und Absorptionseigenschaften aufweisen. Dadurch wird er­ reicht, dass die parallel angeordneten Lagen der Mehrlagen­ schicht die gesamte Reflexion der Mehrlagenschicht gegenüber einer einlagigen Reflexionsschicht verbessern, denn viele solcher Doppelschichten wirken als resonante Reflektoren der einfallenden Strahlung. Ohne eine solche Mehrlagenschicht wäre beispielsweise die Reflexion von EUV-Strahlung be­ schränkt.
Vorteilhaft ist weiter, die Dicke einer Lage der Reflexions­ schicht in Abhängigkeit von der Wellenlänge der Strahlung, mit etwa 5 bis 8 nm, vorzugsweise 6,8 nm, zu wählen. Bei­ spielsweise ist bei einer Doppelschicht aus Mo/Si und bei ei­ ner EUV-Strahlung von 13,4 nm die Reflexion in der Doppel­ schicht maximal, da diese Schichtdicke etwa der halben Wel­ lenlänge des einfallenden Lichtes entspricht.
Bei einer Gesamtdicke der Reflexionsschicht im Bereich von 200-320 nm können beispielsweise 40 Doppelschichten zu je 6,8 nm parallel angeordnet werden. Diese Reflexionsschicht reicht aus, um eine maximaler Reflexion bei günstigen Ferti­ gungskosten zu erzielen.
Die Absorberschicht kann aus den chemischen Elementen wie Cr, Al, Ta, Ti, Ni oder aus den chemischen Verbindungen wie TaN oder TiN bestehen. Diese Materialien lassen sich leicht auf das Substrat in einer gewünschten Dicke abscheiden und weisen bei der vorgesehenen Strahlung vorteilhaft hohe Absorptions­ eigenschaften auf.
Als günstig wird auch angesehen, die Schichtdicke der Absor­ berschicht im Bereich 20 bis 80 nm auszubilden. Mit dieser Schichtdicke wird eine ausreichende Absorption der einfallen­ den Strahlung erreicht.
Vorteilhaft ist weiterhin, auf die Reflexionsschicht eine Re­ siststruktur mit Hilfe der Elektronenstrahllithographie aus­ zubilden. Dabei können durch Bestrahlung mit Elektronen und bekannten photochemischen Prozessen Feinstrukturierungen ein­ fach ausgeführt werden.
Eine einfache Methode zur Strukturierung der Reflexions­ schicht mit der Resiststruktur ist durch ein anisotropes Plasmaätzen zuverlässig realisierbar.
Auch ist günstig, die Absorberschicht als Ätzstop zu verwen­ den. Der Ätzprozess kann daher so lange fortgeführt werden, bis die Reflexionsschicht vollständig und restlos bis zur Oberfläche der Absorberschicht entfernt wurde, ohne dass stö­ rende Reste der Reflexionsschicht zurückbleiben. Dieses Ätz­ verfahren ist insbesondere für die engen Abstände zwischen den kleinen Strukturen von funktioneller Bedeutung.
Geeignete Ätzverfahren sind mit F- oder Cl-haltigen Ätzgasen durchführbar, mit denen nur die Reflexionsschicht abgetragen wird.
Die Resiststruktur läßt sich dagegen vorteilhaft naßchemisch oder im Plasma entfernen, da diese Verfahren die Reflexions­ schicht nicht angreifen.
Als günstig wird auch angesehen, durch nicht-reaktives Ionen­ ätzen Material der Absorberschicht auf die Seitenwände der Reflexionsschicht zu sputtern. Mit diesem Abscheideverfahren werden die Seitenwände der strukturierten Reflexionsschicht so abgedeckt, dass die einfallende Strahlung vollständig ab­ sorbiert wird und keine Strahlung an den Seitenflächen der Reflektorstrukturen austritt.
Eine weitere alternative Lösung wird auch darin gesehen, dass auf der Reflexionsschicht eine Pufferschicht aufgebracht wird. Diese Pufferschicht hat den Vorteil, dass bei einem späteren selektiven CVD (Chemical Vapor Deposition)-Pro­ zeßschritt auf der Pufferschicht keine Abscheidung von Absor­ bermaterial erfolgt, so dass gezielt die Seitenwände der strukturierten, elektrisch leitenden Reflexionsschicht be­ schichtet werden können.
Die Pufferschicht wird vorzugsweise aus SiO2 gebildet. Diese Pufferschicht läßt sich nach der Strukturierung der Reflexi­ onsschicht vorteilhaft beispielsweise naßchemisch in verdünn­ ter Flußsäure (HF) selektiv entfernen.
Zur Strukturierung der Pufferschicht wird vorteilhaft eine Resiststruktur aufgetragen, die mit Hilfe der Elektronen­ strahllithographie erzeugt wird. Auf diese Weise lassen sich auch sehr kleine Strukturen in der Resiststruktur erstellen.
Günstig ist weiterhin, die Resiststruktur durch anisotropes und selektives Plasmaätzen in die Pufferschicht zu übertra­ gen. Dadurch wird auf einfache Weise die Pufferschicht struk­ turiert.
Während der Strukturierung der Pufferschicht können Fehler­ stellen in den Pufferstrukturen, z. B. Löcher und Unterbre­ chungen entstehen, die prinzipiell durch eine additive Repa­ raturmethode, die in der Abscheidung geeigneter Materialien wie SiO2 besteht, repariert werden, ohne die Mehrlagenschicht zu degradieren.
Durch Übertragung der Struktur der Pufferschicht in die Re­ flexionsschicht mittels anisotropen Plasmaätzens (z. B. mit RIE) werden vorteilhaft die gewünschten Strukturen in der Re­ flexionsschicht ausgebildet.
Als geeignete Ätzgase für die Strukturierung der Reflexions­ schicht wird die Verwendung von beispielsweise CF4, CF4/O2, SF6, Cl2, Cl2/O2 oder BCl3/Cl2 angesehen. Mit diesen Ätzgasen ist ein selektives Ätzen der Reflexionsschicht möglich, ohne dass die Absorberschicht angegriffen wird. Die Absorber­ schicht dient dabei in vorteilhafter Weise als Ätzstop.
Weiterhin ist vorteilhaft, durch einen selektiven CVD-Prozeß eine weitere Absorberschicht an den Seitenwänden der Reflexi­ onsschicht abzuscheiden. Dadurch werden die Strahlungsver­ luste an den Seitenwänden der Reflexionsschicht reduziert.
Die Schichtdicke der weiteren Absorberschicht wird vorteil­ haft so gewählt, dass die Strahlungsverluste an den Seiten­ wänden hinreichend reduziert werden und dabei die Zwischen­ räume zwischen zwei benachbarten Strukturen möglichst wenig aufgefüllt werden, so dass mechanische Spannungen in der Re­ flexionsmaske minimiert werden.
Zur selektiven Entfernung der Pufferschicht wird vorteilhaft ein nasschemischer Ätzschritt mit verdünnter HF-Säure verwen­ det, so dass die Reflexionsschicht oder die Absorberschicht nicht angegriffen werden.
Eine weitere alternative günstige Ausführung wird auch darin gesehen, dass anstelle der Absorberschicht die Reflexions­ schicht direkt auf das Substrat aufgebracht wird. Dadurch wird einerseits der Arbeitsschritt für das Aufbringen der Ab­ sorberschicht auf das Substrat eingespart und andererseits kann das Substrat für die Absorption der Strahlung verwendet werden.
Als günstig wird auch angesehen, auf die Reflexionsschicht zunächst eine Pufferschicht aufzubringen, die mittels der Elektronenstrahllithographie mit einer Resiststruktur struk­ turierbar ist.
Die Resiststruktur kann vorteilhaft durch anisotropes und se­ lektives Plasmaätzen zunächst in die Pufferschicht und an­ schließend in die Reflexionsschicht übertragen werden. Diese Verfahren sind einfach durchführbar.
Zum Aufbringen einer Absorberschicht auf den Seitenwänden der Reflexionsschicht wird vorteilhaft ein selektives CVD-Verfah­ ren eingesetzt, da mit diesem Verfahren gezielte Abscheidun­ gen erreichbar sind.
Die Reflexionsmaske wird vorzugsweise für die EUV-Lithogra­ phie eines Halbleiterwafers verwendet, wobei die EUV-Strah­ lung im Bereich von 10 bis 15 nm liegt. Mit diesen Wellen­ länge gelingt es, kleinste Strukturen weit unter 100 nm zu erzeugen.
Aufgabe der Erfindung ist es, ein Verfahren zur Herstellung einer lithographischen Reflexionsmaske beziehungsweise eine lithographische Reflexionsmaske anzugeben, mit der insbeson­ dere kleinste Strukturen unter 100 nm im großtechnischen Fer­ tigungseinsatz erzeugt werden können. Diese Aufgabe wird mit den Merkmalen der nebengeordneten Ansprüche 1 beziehungsweise 29 gelöst.
Drei Ausführungsbeispiele der Erfindung sind in der Zeichnung dargestellt und werden in der nachfolgenden Beschreibung nä­ her erläutert.
Fig. 1a bis 1d zeigen Schnittbilder einzelner Fertigungs­ schritte einer Reflexionsmaske nach einem ersten bekannten Herstellverfahren,
Fig. 2 zeigt ein Schnittbild mit einem schematischen Quer­ schnitt durch eine typische EUV-Reflexionsmaske nach dem Stand der Technik,
Fig. 3a bis 3d zeigen Schnittbilder einzelner Fertigungs­ schritte einer Reflexionsmaske nach einem zweiten bekannten Herstellverfahren,
Fig. 4a bis 4e zeigen Schnittbilder einzelner Fertigungs­ schritte einer Reflexionsmaske nach einem dritten bekannten Herstellverfahren,
Fig. 5 zeigt ein einfaches Kamerasystem, das für prinzi­ pielle Untersuchungen schon verwendet wurde,
Fig. 6a bis 6f zeigen Schnittbilder einzelner Fertigungs­ schritte einer Reflexionsmaske mit einem ersten Ausführungs­ beispiel,
Fig. 7a bis 7e zeigen Schnittbilder einzelner Fertigungs­ schritte einer Reflexionsmaske mit einem zweiten Ausführungs­ beispiel und
Fig. 8a bis 8e zeigen Schnittbilder einzelner Fertigungs­ schritte einer Reflexionsmaske mit einem dritten Ausführungs­ beispiel.
Zum besseren Verständnis der Erfindung wird zunächst anhand der Fig. 1 bis 5 der bekannte Stand der Technik mit seiner Problematik näher erläutert.
Für die Verkleinerung von Strukturen, die in einer Dimension von 70 bis 35 nm erzeugt werden sollen, werden lithographische Reflexionsmasken 1 benötigt, die mit einer Wellenlänge im weichen Röntgenstrahlenbereich von 10 bis 15 nm verwendbar sind.
Die Fig. 1a bis 1d zeigen im Schnittbild den schematischen Aufbau einer derartigen bekannten Reflexionsmaske 1, die bei­ spielsweise mit einem Absorber-Ätzprozeß erstellt werden kann. Man geht dabei gemäß Fig. 1a von einem Substrat S aus, das beispielsweise aus einem Quarzglas oder ULE (Ultra-Low- Expansion-Material) besteht. Auf dieses Substrat S wird eine Reflexionsschicht ML aufgebracht, die als Mehrlagenschicht ausgebildet ist. Diese Mehrlagenschicht wird mit einer Viel­ zahl von dünnen Schichten gebildet, wobei die Schichtdicke einer einzelnen Schicht etwa der halben Wellenlänge der ein­ fallenden Strahlung entspricht. Diese Schichten sind als Dop­ pelschichten aus Mo und Si ausgebildet und sind auf die Wel­ lenlänge der einfallenden Strahlung so abgestimmt, dass eine maximale Reflexion erreicht wird.
Auf diese Reflexionsschicht ML ist eine Pufferschicht B auf­ gebracht, die aus SiO2 gebildet ist. Die Pufferschicht B hat im wesentlichen fertigungstechnische Gründe und dient bei­ spielsweise als Ätzstop bei nachfolgenden Strukturierungspro­ zessen und auch als Schutzschicht für Defektreparaturen.
Auf diese Pufferschicht B wird anschließend eine Absorber­ schicht A aufgebracht, die meistens aus Cr besteht.
Zur Strukturierung wird gemäß Fig. 1b beispielsweise durch Elektronenstrahllithographie eine Resiststruktur R (Photo­ lackschicht) strukturiert. Die Strukturen der Resistschicht R werden gemäß der Fig. 1c durch ein spezielles Ätzverfahren (RIE mit Ätzstop) auf die Absorberschicht A übertragen, wobei die Strukturen der Resistschicht R durch ein Resiststrippen entfernt werden. Zur Begrenzung des Ätzprozesses für die Ab­ sorberschicht A dient dabei die Pufferschicht B.
In diesem Status der Maskenherstellung besteht die Möglich­ keit, Defekte in der Absorberstruktur mit FIB (Focussed Ion Beam) oder mit einem Laserstrahl zu reparieren, ohne dass die Reflexionsschicht ML beeinträchtigt wird. Danach darf jedoch bei der RIE-Strukturierung der Pufferschicht B weder die Re­ flexionsschicht ML, beispielsweise in Folge einer Anätzung, einer Ionenimplantation oder Ionenoxidation, beschädigt wer­ den, noch dürfen dabei Defekte wie Rückstände, Brücken oder Partikel entstehen, die dann in der Regel nicht mehr repara­ bel sind, ohne die Reflexionsschicht ML zu schädigen.
Fig. 1d zeigt die fertig geätzte Reflexionsmaske 1, bei der die Pufferschicht B strukturiert wurde. Der Fig. 1d ist wei­ ter zu entnehmen, dass die Reflexionsschicht ML unbeschädigt und durchgehend auf dem Substrat S aufliegt. Eine Reflexions­ zone 10 zwischen zwei Strukturen 11 bildet für die spätere Projektion mit EUV-Strahlung die abzubildende Fläche (die über eine Spiegeloptik noch verkleinert wird) auf dem Wafer. Die Flächen der Strukturen 11 absorbieren dagegen die eintreffende Strahlung nahezu vollständig.
Das Schnittbild der Fig. 2 zeigt die prinzipielle Wirkung der in Fig. 1d beschriebenen bekannten Reflexionsmaske 1. Insbesondere ist der Fig. 2 zu entnehmen, wie die einfal­ lende Strahlung We von der Reflexionsschicht ML als reflek­ tierte Strahlung Wr verändert und reflektiert wird. Als Strahlung ist eine EUV-Strahlung We vorgesehen, die eine Wel­ lenlänge zwischen 10 und 14 nm aufweist und beispielsweise in einem Einfallswinkel δ von 5° auf die Reflexionsmaske 1 auf­ trifft. Die Strukturen 11, die durch die beiden Schichten (Absorberschicht A und Pufferschicht B) gebildet werden, ha­ ben den Abstand s (Abstand von Linien auf der Maske). Durch Abschattungen ergibt sich eine Schattenzone d, so dass sich die wirksame Reflexionszone entsprechend verkleinert. Das ist durch die reflektierte Strahlung Wr mit der Breite s' im re­ flektierten Luftbild bei der eingezeichneten Topographie er­ kennbar. Ohne Topographie, dh. dA + dB = 0, würde die reflektierte Strahlung Wr im Vergleich hierzu die größere Breite so' aufweisen.
Entsprechendes gilt auch für die Strukturbreite (Linienbreite L). Mit der Topographie ändert sich Breite der Linien im re­ flektierten Luftbild auf den Wert L', während sie ohne Topo­ graphie den Wert Lo' hätte. Insbesondere mit der dargestell­ ten Topographie wird die reflektierte Strahlung Wr durch die vorstehende linke Kante der rechten Struktur 11 des Absorbers A begrenzt, so dass die wirksame Reflexionszone der reflek­ tierten Strahlung Wr verkleinert wird. Des weiteren ist nachteilig, dass durch Interferenzen an den Randgebieten der Strahlungszone der reflektierten Strahlung Wr unterschiedli­ che Strahlungsdichten entstehen.
Fig. 2 ist weiter entnehmbar, dass der Abstand L' zwischen zwei benachbarten reflektierten Strahlungsbündeln Wr größer ist als die Breite L der Struktur 11 selbst. Aus geometri­ schen Überlegungen und der vereinfachenden Annahme, dass die einfallende EUV-Strahlung We an der Oberfläche der Reflexi­ onsschicht ML reflektiert wird, folgt, dass diese CD-Verände­ rungen gleich
2.(dA + dB).sinδ
sind, wobei dA und dB die Schichtdicken des Absorbers A bzw. des Puffers B sind. δ ist der Einfallswinkel zur Maskennorma­ len. Für typische Werte für dA = 70 nm und dB = 50 nm folgen CD- Veränderungen von 21 nm, also von ca. 10% für 200 nm-Struktu­ ren auf der Reflexionsmaske 1. Bei einem Verkleinerungsver­ hältnis von 4 : 1 ergeben sich immer noch CD-Veränderungen von 5 nm auf dem Wafer.
In der Praxis muss jedoch mit größeren CD-Veränderungen ge­ rechnet werden, da die Strahlung We in die Reflexionsschicht ML eindringt und mit abnehmender Intensität an den tieferlie­ genden Lagen gestreut wird, so dass die durch Interferenz entstehende reflektierte Strahlung Wr nicht nur stärker abge­ schattet wird, sondern auch gegenüber dem an der Oberfläche reflektierten Strahl versetzt ist.
Ein weiterer Nachteil ist, dass die Strukturverschiebungen ebenfalls von der Schichttopographie, also im wesentlichen von der Dicke der Absorber- und Pufferschicht abhängen.
Auch ist nachteilig, dass durch unterschiedliche thermische Ausdehnungskoeffizienten des Maskensubstrates S, der Reflexi­ onsschicht ML, der Pufferschicht B und der Absorberschicht A mechanische Spannungen und Verzerrungen entstehen, die eben­ falls zu CD-Veränderungen führen. Außerdem muß erwartet wer­ den, dass diese Spannungen durch Strukturänderungen in der Reflexionsschicht ML, durch Rekristallisation und Diffusion relaxieren und dabei die Reflektivität der Reflexionsschicht ML vermindert wird.
Die Fig. 3a bis 3d zeigen ein zweites Ausführungsbeispiel einer bekannten Reflexionsmaske 1, die nach der Damascene-Me­ thode hergestellt wurde. Entsprechend der Fig. 3a wird wie­ der von einem Substrat S ausgegangen, auf dem die Reflexions­ schicht ML aufgebracht ist. Die Reflexionsschicht ML ist wie in allen weiter aufgeführten Beispielen stets als Mehrlagen­ reflexionsschicht ausgebildet.
Auf die Reflexionsschicht ML wird eine Resiststruktur R auf­ gebracht, die durch Elektronenstrahllithographie mit Gräben und Löchern strukturiert wird. Mit einem anisotropen Ätzver­ fahren werden die Strukturen 11 in die Reflexionsschicht ML übertragen. Die Tiefe der Gräben und Löcher kann kleiner oder gleich der Dicke der Reflexionsschicht ML sein. Nach Entfer­ nen der Resiststruktur R werden gemäß der Fig. 3c die Gräben und Löcher mit dem Absorbermaterial aufgefüllt. Überschüssi­ ges Absorbermaterial wird durch chemisch-mechanisches Polie­ ren (CMP) abgetragen, so dass die Gräben und Löcher von dem Absorbermaterial ausgefüllt sind und eine planare Oberfläche erzeugen. Dabei besteht ein erhebliches Risiko, dass die Mehrlagenschicht durch den CMP-Schritt geschädigt und ihre Reflektivität gemindert wird.
Auch bei dieser Reflexionsmaske 1 entstehen die zuvor genann­ ten CD-Veränderungen, wenn auch ihre Auswirkungen durch die geringeren Topographieunterschiede geringer sind. Die CD-Ver­ änderungen entstehen daher, weil die EUV-Strahlung nicht nur an der obersten Lage der Reflexionsschicht ML, sondern auch an tiefergelegenen Lagen reflektiert wird.
Bei dieser Reflexionsmaske 1 ist auch nachteilig, dass die Effekte durch unterschiedliche thermische Auswirkungen sogar verstärkt auftreten, da sich das Absorbermaterial nicht nur auf der Oberfläche der Reflexionsschicht ML befindet, sondern auch die Gräben und Löcher hohlraumfrei ausfüllt. Dadurch werden hohe lokale mechanische Spannungen in der Reflexions­ schicht ML erzeugt.
Die Fig. 4a bis 4e zeigen ein weiteres Ausführungsbeispiel eines bekannten Herstellungsverfahrens für eine Reflexions­ maske, bei dem die Risiken einer Degradation der Mehrlagen­ schicht durch den CMP-Schritt umgangen werden sollen. Ausge­ hend von dem Substrat S ist auf der Reflexionsschicht ML ge­ mäß der Fig. 4a eine Pufferschicht B aufgebracht. Diese wird wie zuvor beschrieben strukturiert. Die Fig. 4b und 4c zeigen die entsprechende Situation, wie sie bei den Fig. 3b und 3c beschrieben wurde. Durch chemisch-mechanisches Po­ lieren (CMP-Schritt) wird entsprechend der Fig. 4d der über­ schüssige Absorber A abgetragen, wobei der CMP-Schritt auf der Pufferschicht B stoppt. Nach dem naß- oder trockenchemi­ schen selektiven Entfernen der Pufferschicht B entsteht gemäß der Fig. 4e eine Topographie mit den oben beschriebenen nachteiligen Auswirkungen. Auch bei diesem Verfahren treten die unerwünschten CD-Veränderungen ein.
Zum besseren Verständnis der Funktion der Projektion zeigt Fig. 5 in schematischer Ausführung ein vereinfachtes Kamera­ system, bei dem eine schräg einfallende Strahlung U (EUV- Strahlung) von der Reflexionsmaske 1 reflektiert und als re­ flektierte Strahlung U' durch eine Apertur 2 geleitet wird. Die reflektierte Strahlung U' wird von zwei sphärischen Spie­ geln 3 und 4 so umgelenkt, dass ihre Strahlung mit einem vor­ gegebenen Verkleinerungsverhältnis auf den Halbleiterwafer 5 fokussiert wird.
Die oben aufgeführten Nachteile bei bekannten Herstellverfah­ ren für Reflexionsmasken treten bei den nachfolgend beschrie­ benen Ausführungsbeispielen der Erfindung nicht oder nur in stark verringertem Maße auf. Das liegt im wesentlichen an der erfindungsgemäßen neuen Schichtanordnung für die Reflexions­ schicht ML und die Absorberschicht A. Die Absorberschicht A wird prinzipiell nicht auf der Reflexionsschicht ML, sondern unter dieser angeordnet und alternativ auf dem Substrat S und/oder den Seitenwänden der Reflexionsschicht ML aufge­ bracht. Dadurch sind die Auswirkungen auf die reflektierte Strahlung Wr (vergleiche Fig. 2) völlig anders. Die beim Stand der Technik beschriebenen Nachteile, insbesondere der CD-Veränderungen, werden dadurch vorteilhaft umgangen oder reduziert.
Ein erstes Ausführungsbeispiel zeigen die Fig. 6a bis 6d. Entsprechend der Fig. 6a wird von einem Substrat S für die Maske 1 ausgegangen, das beispielsweise aus Quarz oder ULE besteht. Auf dieses Substrat S ist die Absorberschicht A bei­ spielsweise mit einer Dicke in einem Bereich von 20 bis 80 nm abgeschieden. Die Absorberschicht A besteht beispielsweise aus Cr, Al, Ta, TaN, Ti, TiN oder Ni. Auf diese Absorber­ schicht A wird die Reflexionsschicht ML aufgetragen, wobei die Gesamtdicke in einem Bereich von 200 bis 320 nm liegt. Beispielsweise ergibt sich bei 40 Doppelschichten eine Ge­ samtdicke von ca. 270 nm.
Die Reflexionsschicht ML ist als Multilayerschicht ähnlich aufgebaut, wir sie zuvor beschrieben wurde. Die hat bei­ spielsweise Doppelschichten aus Mo/Si zu je 6,8 nm Dicke, wo­ bei die Dicke einer Doppelschicht im wesentlichen durch die Wellenlänge der verwendeten EUV-Strahlung U bestimmt wird. Bei den vorgesehenen optischen Wellenlängen wird die Dicke einer Lage im Bereich 5 bis 8 nm liegen.
Gemäß der Fig. 6b wird auf die Reflexionsschicht ML eine Re­ sistschicht R aus geeignetem Photolack aufgebracht, in dem durch Elektronenstrahllithographie die Strukturen 11 gebildet werden. Entsprechend Fig. 6c wird durch anisotropes Plasma­ ätzen, zum Beispiel mit RIE, MERIE oder ICP unter Verwendung von F- oder Cl-haltigen Gasen wie CF4, CF4/O2, SF6, Cl2, CL2/O2 oder BCl3/Cl2 die Reflexionsschicht ML mit der Struk­ tur der Resistschicht R strukturiert. Die Absorberschicht A dient dabei als Ätzstop.
In Fig. 6d wurde anschließend in einem naßchemischen und/ oder Plasma-Ätzverfahren die verbliebene Resiststruktur R entfernt. Bei diesem Resiststrippen ist im Plasma durch eine geeignete Wahl der Prozeßparameter und Ätzgase darauf zu ach­ ten, dass die Reflexionsschicht ML nicht oder nur an der Oberfläche oxidiert wird.
Nach diesen wenigen Bearbeitungsschritten ist die Reflexions­ maske 1 bereits fertig und kann als EUVL-Maske für die EUV- Lithographie verwendet werden. Vorteilhaft neben der geringen Prozesskomplexität und der Möglichkeit, Defekte zwischen den Reflektorstrukturen ohne Schädigung derselben entfernen zu können, ist auch die Tatsache, dass durch Strahlungsaustritt an den Seitenflächen der Strukturen die erwähnte Asymmetrie der reflektierten Strahlung reduziert wird. Ungünstig ist al­ lerdings, dass der Strahlungsuntergrund insgesamt ansteigt, nicht aber der Belichtungskontrast des Resists.
Die quantitative Bewertung dieser Effekte kann jedoch experi­ mentell und/oder durch Simulation ermittelt und entsprechend berücksichtigt werden.
Zur Vermeidung von Intensitätsverlusten wird in einer alter­ nativen Weiterbildung der Erfindung vorgeschlagen, an den Seitenflächen der Strukturen 11 eine weitere Absorberschicht A' anzuordnen. Die weitere Absorberschicht A' wird zum Bei­ spiel entsprechend der Fig. 4e durch nicht-reaktives Ionen­ ätzen, beispielsweise mit Ar, gebildet. Dabei wird von der Absorberschicht A Material auf die Seitenwände gesputtert, so dass die weitere Absorberschicht A' entsteht.
Die noch störende Resiststruktur R wird anschließend entspre­ chend der Fig. 6f durch Resiststrippen entfernt. Nunmehr können von den Seitenwänden der Strukturen 11 keine Intensi­ tätsverluste auftreten.
Eventuell übriggebliebene Rückstände, sogenannte 'Fences' aus Absorbermaterial, die an Flanken der Resiststrukturen R abge­ schieden wurden, werden naßchemisch oder mechanisch durch CMP touch-up oder Wasser-Jets mit Laserunterstützung (water jet laser cleaning) entfernt.
Dieses Anwendungsbeispiel kann bei Bedarf dadurch modifiziert werden, dass - wie bei dem nachfolgend beschriebenen zweiten Ausführungsbeispiel - auf die Mehrlagenreflexionsschicht ML vor den lithographischen Schritt eine Pufferschicht B aufge­ bracht wird. Diese Schicht erlaubt das Reparieren von Fehl­ stellen (Löcher, Unterbrechungen) in den Pufferstrukturen, die von der lithographischen Strukturierung verursacht wur­ den, ohne dass sie Mehrlagenschicht ML degradiert wird.
Ein zweites Ausführungsbeispiel der Erfindung ist in den Fig. 7a bis 7e dargestellt. Im Unterschied zu der zuvor be­ schriebenen Reflexionsmaske 1 wird zusätzlich entsprechend der Fig. 7a auf der Reflexionsschicht ML eine Pufferschicht B aufgebracht. Die Anordnung der Absorberschicht A und der Reflexionsschicht ML auf dem Substrat S ist die gleiche wie sie zuvor in den Fig. 6a bis 6f beschrieben wurde.
Als Substrat S wurde wieder Quarz oder ULE-Material verwen­ det. Die Absorberschicht wir auf das Substrat S aufgetragen und besteht beispielsweise aus Cr, Al, Ta, TaN, Ti, TiN oder Ni in einer Dicke von 20 bis 80 nm. Auf diese Absorberschicht A wird wiederum als Reflexionsschicht ML eine Mehrlagen­ schicht aufgebracht. Beispielsweise werden 40 Mo/Si-Doppel­ schichten von je 6,8 nm Dicke aufgebracht, so dass sich eine Gesamtdicke von ca. 270 nm ergibt. Auf diese Reflexions­ schicht ML wird schließlich die Pufferschicht B beispiels­ weise aus SiO2 abgeschieden. Die Dicke dieser Pufferschicht B beträgt beispielsweise 50 nm.
Entsprechend der Fig. 7b wird nun durch Elektronenstrahlli­ thographie auf der Pufferschicht B eine Resiststruktur R er­ zeugt. Diese Resiststruktur R wird durch anisotropes und se­ lektives Plasmaätzen, beispielweise mit RIE, MERIE oder ICP unter Verwendung von z. B. CHF3/CF4-Gasgemischen, struktu­ riert. Zunächst wird diese Struktur 11 in die Pufferschicht B und dann in die Reflexionsschicht ML übertragen (Fig. 7c), wie es zuvor beschrieben wurde. Für diesen Ätzschritt können Ätzgase wie CF4, CF4/O2, SF6, Cl2, Cl2/O2 oder BCl3/Cl2 ver­ wendet werden. Die Absorberschicht A, die unterhalb der Re­ flexionsschicht ML liegt, wird je nach Wahl der Ätzgase von diesen nicht oder so wenig angegriffen, dass eine ausrei­ chende Restdicke übrigbleibt. Anschließend wird die Resist­ struktur R naßchemisch und/oder im Plasma entfernt, so dass die Struktur 11 der Fig. 7c erhalten wird.
In Fig. 7d wird durch einen selektiven CVD-Schritt auf den Seitenwänden der Strukturen 11 eine weitere Absorberschicht A' aufgebracht, wobei der leitende Untergrund zuvor von iso­ lierenden Passivierungen befreit wurde. Die weitere Absorber­ schicht A' besteht im wesentlichen aus Al. Die Schichtdicke der weiteren Absorberschicht A' wird so gewählt, dass Strah­ lungsverluste an den Seitenwänden der Strukturen 11 hinrei­ chend reduziert werden. Die Zwischenräume der Gräben 12 wer­ den aber möglichst wenig aufgefüllt. Beispielsweise wird die weitere Absorberschicht A' in einem Bereich von 30 bis 50 nm abgeschieden.
Fig. 7e zeigt schließlich die fertige Reflexionsmaske 1, nachdem die Pufferschicht B selektiv zur Reflexionsschicht ML und zur weiteren Absorberschicht A' entfernt wurde. Das Ent­ fernen erfolgt beispielsweise naßchemisch in verdünnter HF- Säure.
Die angegebenen Ätzverfahren wurden bereits zum Stand der Technik näher erläutert, so dass sie an dieser Stelle nicht ausführlich wiederholt werden müssen.
Ein drittes Ausführungsbeispiel der Erfindung zeigen die Fig. 8a bis 8e. Entsprechend der Fig. 8a wird wieder von einer Maske 1 mit einem Substrat S ausgegangen, das aus Quarz oder ULE-Material besteht. In diesem Fall wird jedoch keine Absorberschicht A auf das Substrat S aufgetragen. Vielmehr wird die Reflexionsschicht ML direkt auf das Substrat S auf­ gebracht. Auch in diesem Fall weist die Reflexionsschicht ML beispielsweise 40 Doppelschichten Mo/Si mit einer Dicke von jeweils 6,8 nm auf, so dass sie eine Gesamtdicke von ca. 270 nm besitzt. Auf diese Reflexionsschicht ML wird eine Puffer­ schicht B beispielweise mit einer Dicke von 50 nm abgeschie­ den. Diese Schichtanordnung dient nun als Ausgangsmaterial für die Strukturierung der Reflexionsmaske 1.
Fig. 8b zeigt die strukturierte Reflexionsmaske 1, bei der nach den zuvor beschriebenen Verfahren, beispielsweise durch Elektronenstrahllithographie, eine aufgebrachte Resiststruk­ tur R gebildet wurde. Diese Struktur wurde auf die Puffer­ schicht B und die Reflexionsschicht ML übertragen. Die Über­ tragung erfolgt wie zuvor beschrieben beispielsweise durch anisotropes und selektives Plasmaätzen mit RIE, MERIE, ICP unter Verwendung CHF3/CHF4-Gasgemischen. Als Ätzgase können dabei CF4, CF4/O2, SF6, Cl2, Cl2/O2 oder BCl3/Cl2 dienen. Die zwischen den Strukturen 11 entstandenen Gräben 12 gehen bis auf das Substrat S. Das Substrat S dient dabei als Ätzstop. Eine zusätzliche Absorberschicht A, die auf dem Substrat S angeordnet wird, ist nicht erforderlich.
Fig. 8c zeigt den Zustand der Reflexionsmaske 1, nachdem die Resiststruktur R entfernt wurde, so dass auf dem Substrat S lediglich die Reflexionsschicht ML mit der Pufferschicht B verblieben sind.
Zur Verringerung der Reflexionsverluste an den Seitenwänden der Reflexionsschicht ML wird entsprechend der Fig. 8d eine Absorberschicht A aufgetragen. Diese Absorberschicht A, die beispielsweise aus Al besteht, wird durch einen selektiven CVD-Schritt auf den Seitenflächen der Strukturen 11 aufgetra­ gen, nachdem der Untergrund, wie bereits erwähnt, leitend ge­ macht wurde. In den Gräben 12 auf dem Substrat S selbst wird jedoch keine Absorberschicht A aufgetragen, da das Substrat an den freien Stellen nicht leitend ist. Das ist auch nicht unbedingt erforderlich, da das Substrat S wegen seiner großen Dicke die eindringende EUV-Strahlung sicher absorbiert.
Die Schichtdicke der Absorberschicht A wird so gewählt, dass die Strahlungsverluste an den Seitenwänden der Strukturen 11 hinreichend reduziert werden. Andererseits sollen die Zwi­ schenräume der Gräben 12 möglichst wenig aufgefüllt werden. Erfahrungsgemäß sind Schichtdicken von 30 bis 50 nm ausrei­ chend.
In einem letzten Schritt wird gemäß Fig. 8e die Puffer­ schicht B selektiv zur Reflexionsschicht ML und zur Absorber­ schicht A entfernt. Dieses kann beispielsweise naßchemisch in verdünnter HF-Säure erfolgen.
Reflexionsmasken 1, die mit den zuvor beschriebenen drei Her­ stellungsverfahren gefertigt werden, können bevorzugt für die Maskierung von Chips auf Halbleiterwafern verwendet werden. Diese Masken eignen sich insbesondere für die EUV-Lithogra­ phie, bei der eine Strahlung im Bereich von 10 bis 15 nm ver­ wendet wird. Mit einer entsprechenden Kamera (Stepper) und geeigneten verkleinerten Projektion lassen sich auf dem Wafer voraussichtlich Strukturen bis zu einer minimalen Größe von ca. 35 nm erzeugen.

Claims (30)

1. Verfahren zur Herstellung einer lithographischen Reflexi­ onsmaske (1), insbesondere für kleinste Strukturgrößen unter 100 nm, wobei auf einem Substrat (S) wenigstens eine Absorberschicht (A) und eine Reflexionsschicht (ML) aufgebracht sind, mit denen mittels einer kurzwelligen optischen Strahlung (U) und angepassten Ätzprozessen Strukturen (11) und Gräben (12) auf der Reflexionsmaske (1) ausgebildet werden, dadurch gekennzeichnet, dass die Absorberschicht (A) auf einer Oberseite des Substrates (S) unterhalb der Reflexionsschicht (ML) und/oder auf den Seitenflächen der Reflexionsschicht (ML) aufgebracht wird.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Reflexionsschicht (ML) eine Mehrlagenschicht ist, wobei jeweils zwei benachbarte Lagen unterschiedliche Streu- und Absorptionseigenschaften aufweisen.
3. Verfahren nach einem der Ansprüche 1 oder 2, dadurch ge­ kennzeichnet, dass die Dicke einer Lage der Reflexionsschicht (ML) in Abhängigkeit von der Wellenlänge im Bereich von 5 bis 8 nm, vorzugsweise 6,8 nm, gewählt wird.
4. Verfahren nach einem der vorhergehenden Ansprüchen, da­ durch gekennzeichnet, dass die Gesamtdicke der Reflexi­ onsschicht (ML) im Bereich 200 bis 320 nm beträgt.
5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Absorberschicht (A) aus chemischen Elementen wie Cr, Al, Ta, Ti, Ni oder chemischen Verbindungen wie TaN oder TiN gebildet ist.
6. Verfahren nach einem der Ansprüchen 1 oder 5, dadurch ge­ kennzeichnet, dass die Schichtdicke der Absorberschicht (A) im Bereich 20 bis 80 nm liegt.
7. Verfahren nach einem der vorhergehenden Ansprüchen, da­ durch gekennzeichnet, dass auf der Reflexionsschicht (ML) durch Elektronenstrahllithographie eine Resiststruktur (R) gebildet wird.
8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass die Resiststruktur (R) als Ätzmaske für die Reflexionsschicht (ML) dient, wobei die Reflexionsschicht (ML) mit einem anisotropen Plasmaätzen strukturiert wird.
9. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass die Absorberschicht (A) als Ätzstop verwendet wird.
10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass zum Ätzen F- oder Cl-haltige Ätzgase verwendet werden.
11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die Resiststruktur (R) naßchemisch oder im Plasma entfernt wird.
12. Verfahren nach Anspruch 11, dadurch gekennzeichnet, dass durch nicht-reaktives Ionenätzen Material der Absorberschicht (A) auf die Seitenwände der Reflexionsschicht (ML) gesputtert wird.
13. Verfahren nach einem der vorhergehenden Ansprüchen, da­ durch gekennzeichnet, dass auf die Reflexionsschicht (ML) eine Pufferschicht (B) aufgebracht wird.
14. Verfahren nach Anspruch 13, dadurch gekennzeichnet, dass die Pufferschicht (B) aus SiO2 gebildet wird.
15. Verfahren nach einem der Ansprüche 13 oder 14, dadurch gekennzeichnet, dass auf der Pufferschicht (B) durch Elektronenstrahllithographie eine Resiststruktur (R) erzeugt wird.
16. Verfahren nach einem der Ansprüchen 13 bis 15, dadurch gekennzeichnet, dass durch anisotropes und selektives Plasmaätzen die Resiststruktur (R) in die Pufferschicht (B) übertragen wird.
17. Verfahren nach Anspruch 16, dadurch gekennzeichnet, dass die Resiststruktur (R) in die Reflexionsschicht (ML) übertragen wird.
18. Verfahren nach Anspruch 17, dadurch gekennzeichnet, dass als Ätzgas CF4, CF4/O2, SF6, Cl2, Cl2/O2 oder BCl3/Cl2 verwendet wird.
19. Verfahren nach einem der Ansprüchen 17 oder 18, dadurch gekennzeichnet, dass die Absorberschicht (A) als Ätzstop verwendet wird.
20. Verfahren nach Anspruch 19, dadurch gekennzeichnet, dass die Resiststruktur (R) nasschemisch oder im Plasma entfernt wird.
21. Verfahren nach Anspruch 20, dadurch gekennzeichnet, dass durch selektives CVD auf leitendem Grund, insbesondere den Seitenwänden der Reflexionsschicht (ML) und den freigeätzten Zonen der Absorberschicht (A) eine weitere Absorberschicht (A') aufgebracht wird.
22. Verfahren nach Anspruch 21, dadurch gekennzeichnet, dass die Dicke der weiteren Absorberschicht (A') so gewählt wird, dass die Strahlungsverluste an den Seitenwänden der Reflexionsschicht hinreichend reduziert werden, wobei die Zwischenräume möglichst wenig aufgefüllt werden und vorzugsweise im Bereich von 30 bis 50 nm liegen.
23. Verfahren nach Anspruch 22, dadurch gekennzeichnet, dass die Pufferschicht (B) selektiv zur Reflexionsschicht (ML) und der weiteren Absorberschicht (A') vorzugsweise nasschemisch mit verdünnter HF-Säure entfernt wird.
24. Verfahren nach einem der Ansprüche 1 bis 4, dadurch ge­ kennzeichnet, dass an Stelle der Absorberschicht (A) die Reflexionsschicht (ML) direkt auf das Substrat (S) auf­ gebracht wird.
25. Verfahren nach Anspruch 24, dadurch gekennzeichnet, dass auf der Reflexionsschicht (ML) eine Pufferschicht (B) aufgebracht ist, auf die durch Elektronenstrahllithographie eine Resiststruktur (R) gebildet wird.
26. Verfahren nach Anspruch 25, dadurch gekennzeichnet, dass die Resiststruktur durch anisotropes und selektives Plasmaätzen zunächst in die Pufferschicht (B) und an­ schließend in die Reflexionsschicht (ML) übertragen wird.
27. Verfahren nach Anspruch 26, dadurch gekennzeichnet, dass auf den Seitenwänden der Reflexionsschicht (ML) durch selektives CVD eine Absorberschicht (A) abgeschieden wird.
28. Verfahren nach Anspruch 27, dadurch gekennzeichnet, dass die Pufferschicht (B) durch nasschemisches Ätzen selektiv entfernt wird.
29. Lithographische Reflexionsmaske zur Durchführung des Ver­ fahrens nach einem der vorhergehenden Ansprüche, mit einer Reflexionsschicht (ML) und mit wenigstens einer Absorberschicht (A), dadurch gekennzeichnet, dass die Absorberschicht (A) zwischen dem Substrat (S) und der Reflexionsschicht (ML) und/oder an den Seitenwänden der Reflexionsschicht (ML) aufgebracht ist.
30. Reflexionsmaske nach Anspruch 29, dadurch gekennzeichnet, dass die Reflexionsmaske 1 für die EUV-Lithographie eines Halbleiterwafers vorzugsweise mit einer optischen Strahlung im Bereich von 10 bis 15 nm verwendbar ist.
DE10123768A 2001-05-16 2001-05-16 Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske Expired - Fee Related DE10123768C2 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10123768A DE10123768C2 (de) 2001-05-16 2001-05-16 Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske
US10/147,543 US6872495B2 (en) 2001-05-16 2002-05-16 Method for fabricating a lithographic reflection mask in particular for the patterning of a semiconductor wafer, and a reflection mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10123768A DE10123768C2 (de) 2001-05-16 2001-05-16 Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske

Publications (2)

Publication Number Publication Date
DE10123768A1 DE10123768A1 (de) 2002-12-05
DE10123768C2 true DE10123768C2 (de) 2003-04-30

Family

ID=7684959

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10123768A Expired - Fee Related DE10123768C2 (de) 2001-05-16 2001-05-16 Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske

Country Status (2)

Country Link
US (1) US6872495B2 (de)
DE (1) DE10123768C2 (de)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6986971B2 (en) * 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US20040131947A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Reflective mask structure and method of formation
US6986974B2 (en) * 2003-10-16 2006-01-17 Freescale Semiconductor, Inc. Attenuated phase shift mask for extreme ultraviolet lithography and method therefore
US7198872B2 (en) * 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
KR101316633B1 (ko) * 2004-07-28 2013-10-15 삼성디스플레이 주식회사 다결정 규소용 마스크 및 이의 제조방법과, 이를 이용한박막트랜지스터의 제조방법
US7094507B2 (en) 2004-10-29 2006-08-22 Infineon Technologies Ag Method for determining an optimal absorber stack geometry of a lithographic reflection mask
US20060134531A1 (en) * 2004-11-16 2006-06-22 Song I-Hun Mask for electromagnetic radiation and method of fabricating the same
DE602005021127D1 (de) * 2005-12-09 2010-06-17 Imec Verfahren und Vorrichtungen zur Lithographie
EP1962138B1 (de) * 2007-02-23 2011-12-14 Imec Systeme und Verfahren zur UV-Lithographie
KR100998670B1 (ko) * 2007-10-31 2010-12-06 주식회사 하이닉스반도체 극자외선리소그래피에 사용되는 마스크 및 제조 방법, 노광방법
US7930657B2 (en) 2008-01-23 2011-04-19 Micron Technology, Inc. Methods of forming photomasks
JP5348127B2 (ja) * 2008-03-18 2013-11-20 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
KR20100001817A (ko) * 2008-06-27 2010-01-06 주식회사 하이닉스반도체 Euv용 노광마스크 및 이를 이용한 반도체 소자의 형성방법
DE102008040964B4 (de) * 2008-08-04 2010-07-15 Carl Zeiss Smt Ag Entfernen reflektierender Schichten von EUV-Spiegeln
KR101095681B1 (ko) * 2008-12-26 2011-12-19 주식회사 하이닉스반도체 극자외선 리소그래피를 위한 포토마스크 및 그 제조방법
JP5356114B2 (ja) * 2009-05-29 2013-12-04 株式会社東芝 露光用マスク及び半導体装置の製造方法
KR101679687B1 (ko) 2011-03-17 2016-11-28 삼성전자주식회사 반사형 극자외선 마스크 및 그의 제조 방법
US8877409B2 (en) * 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
JP2014096483A (ja) * 2012-11-09 2014-05-22 Toppan Printing Co Ltd 反射型マスクおよびその製造方法
US20140176570A1 (en) * 2012-12-21 2014-06-26 Pixtronix, Inc. Interferometric light absorbing structure for display apparatus
US9046776B2 (en) * 2013-02-04 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. System, method and reticle for improved pattern quality in extreme ultraviolet (EUV) lithography and method for forming the reticle
US9069253B2 (en) * 2013-03-13 2015-06-30 Nanya Technology Corportion Mask structure
JP6287045B2 (ja) * 2013-10-18 2018-03-07 凸版印刷株式会社 反射型マスクおよびその製造方法
JP2016009744A (ja) * 2014-06-24 2016-01-18 凸版印刷株式会社 反射型マスクおよび反射型マスクブランク
JP2016173392A (ja) * 2015-03-16 2016-09-29 株式会社東芝 光反射型リソグラフィマスク、その製造方法、マスクデータの生成方法、およびマスクブランク
JP7325961B2 (ja) * 2016-06-03 2023-08-15 エーエスエムエル ネザーランズ ビー.ブイ. パターニングデバイス
JP6685959B2 (ja) * 2017-03-22 2020-04-22 キオクシア株式会社 反射型露光マスク
TWI713716B (zh) * 2017-03-28 2020-12-21 聯華電子股份有限公司 極紫外線光罩及其製造方法
US20180299765A1 (en) * 2017-04-12 2018-10-18 Globalfoundries Inc. Extreme ultraviolet lithography (euvl) reflective mask
US11480869B2 (en) * 2019-08-29 2022-10-25 Taiwan Semiconductor Manufacturing Company Ltd. Photomask with enhanced contamination control and method of forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0279670A2 (de) * 1987-02-18 1988-08-24 Canon Kabushiki Kaisha Reflexionsmaske

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5411824A (en) * 1993-01-21 1995-05-02 Sematech, Inc. Phase shifting mask structure with absorbing/attenuating sidewalls for improved imaging
US6645679B1 (en) * 2001-03-12 2003-11-11 Advanced Micro Devices, Inc. Attenuated phase shift mask for use in EUV lithography and a method of making such a mask

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0279670A2 (de) * 1987-02-18 1988-08-24 Canon Kabushiki Kaisha Reflexionsmaske

Also Published As

Publication number Publication date
US20020192571A1 (en) 2002-12-19
DE10123768A1 (de) 2002-12-05
US6872495B2 (en) 2005-03-29

Similar Documents

Publication Publication Date Title
DE10123768C2 (de) Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske
DE10155112B4 (de) Reflexionsmaske für die EUV-Lithographie und Herstellungsverfahren dafür
DE60036510T2 (de) EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht
DE102005027697A1 (de) EUV-Reflexionsmaske und Verfahren zu deren Herstellung
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE102004034572B4 (de) Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
DE102006062993B3 (de) Verfahren zur Photolithographie bei der Halbleiter-Herstellung
DE10223113B4 (de) Verfahren zur Herstellung einer photolithographischen Maske
DE102004013459B4 (de) Verfahren zur Herstellung einer reflektierenden Maske und Verfahren zur Herstellung eines Halbleiterbauelements
DE112009000965T5 (de) Reflektive Maske, reflektiver Maskenrohling und Verfahren zum Herstellen einer reflektiven Maske
WO1984003571A1 (en) Optical structure filter and production method thereof
DE102018220629A1 (de) Spiegel für eine Beleuchtungsoptik einer Projektionsbelichtungsanlage mit einem Spektralfilter in Form einer Gitterstruktur und Verfahren zur Herstellung eines Spektralfilters in Form einer Gitterstruktur auf einem Spiegel
DE10156366B4 (de) Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
DE10259331A1 (de) Herstellungsverfahren für eine Photomaske für eine integrierte Schaltung und entsprechende Photomaske
DE102015104473A1 (de) Retikel und verfahren zu seiner herstellung
DE4434060C2 (de) Phasenschiebermaske vom Abschwächungstyp und Verfahren zum Reparieren einer Phasenschiebermaske vom Abschwächungstyp
DE10134231B4 (de) EUV-Reflektionsmaske
DE102013108872A1 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
DE10206143B4 (de) Reflektierender Maskenrohling und reflektierende Maske für EUV-Belichtung und Verfahren zum Herstellen der Maske
DE10349087B4 (de) Verfahren zur Herstellung von Halbton-Phasenverschiebungsmasken-Rohlingen
DE19709246B4 (de) Phasenverschiebungsmaske und Verfahren zum Herstellen derselben
DE10252051A1 (de) Fotomaske für eine Außerachsen-Beleuchtung und Verfahren zur Herstellung derselben
DE102004031079B4 (de) Verfahren zur Herstellung einer Reflexionsmaske
EP2010964A1 (de) Projektionsbelichtungssystem und verwendung desselben
DE102011080100B4 (de) Verfahren zum Bearbeiten von Defekten eines optischen Elements für den EUV Bereich

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8304 Grant after examination procedure
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee