TW201743127A - 反射式光罩及其製造方法 - Google Patents

反射式光罩及其製造方法 Download PDF

Info

Publication number
TW201743127A
TW201743127A TW106115026A TW106115026A TW201743127A TW 201743127 A TW201743127 A TW 201743127A TW 106115026 A TW106115026 A TW 106115026A TW 106115026 A TW106115026 A TW 106115026A TW 201743127 A TW201743127 A TW 201743127A
Authority
TW
Taiwan
Prior art keywords
layer
reflective
capping layer
substrate
reticle
Prior art date
Application number
TW106115026A
Other languages
English (en)
Other versions
TWI639883B (zh
Inventor
余家豪
呂啟綸
石志聰
沈經緯
陳政宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201743127A publication Critical patent/TW201743127A/zh
Application granted granted Critical
Publication of TWI639883B publication Critical patent/TWI639883B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Plasma & Fusion (AREA)

Abstract

本揭露之一實施例提供反射式光罩,反射式光罩包含基板、反射疊層、抗氧化阻層以及吸收層。其中反射疊層配置於基板上。抗氧化阻層配置於反射疊層上,且抗氧化阻層為非晶態且具有小於氧分子之動力學直徑的平均內原子間距。吸收層配置於抗氧化阻層上,且根據積體電路佈局進行圖案化。

Description

反射式光罩及其製造方法
本揭露是關於一種光罩及其製造方法,特別是關於反射式光罩及製造方法。
半導體積體電路(integrated circuit;IC)工業歷經了指數性的成長。積體電路材料及設計的技術發展已創造了數代積體電路,每一代皆有比上一代更小且更複雜之電路。積體電路的演化的過程中,功能密度(如每個晶片內的互連接元件之數量)不斷提升,而元件尺寸(如製程所能製造出的最小組件)則不斷縮小。尺寸縮小的製程一般提供了生產效率的提升以及減少相關的浪費。尺寸的縮小亦增加了製程及生產的複雜性,也應了解到因應這樣的進步,相關的積體電路製程及製造是需要的。以光微影圖案化為例,用於光微影製程之光罩具有預先定義之電路圖案並轉移至晶圓上。在極紫外光(extreme ultraviolet;EUV)光微影製程期間所使用之反射式光罩用於形成具有較小體積特徵之積體電路。然而,現有的反射式光罩在製造過程(如:氧化)中非常的脆弱且易損壞。
因此,需要一種反射式光罩及其製造方法來解決 上述之問題。
本揭露之一實施例提供一種反射式光罩。反射式光罩包含基板、反射疊層、抗氧化阻層以及吸收層。其中反射疊層配置於基板上。抗氧化阻層配置於反射疊層上,且抗氧化阻層為非晶態且具有小於氧分子之動力學直徑的平均內原子間距。吸收層配置於抗氧化阻層上,且根據積體電路佈局進行圖案化。
本揭露之另一實施例提供一種反射式光罩。反射式光罩包含基板、反射疊層、封端層以及吸收層。基板為低熱膨脹材料。反射疊層配置於基板上,且具有複數個交替之鉬-矽薄膜,其中最上層為鉬薄膜。封端層形成於反射疊層上,其中封端層配置並直接接觸反射疊層之最上層的鉬薄膜。吸收層配置於封端層上,且根據積體電路佈局進行圖案化。
本揭露之又一實施例提供一種製造反射式光罩的方法。方法包含在低熱膨脹材料之基板上形成反射疊層。形成封端層,其中封端層係選自於由鈦、矽及鋯所組成之群組。在封端層上形成吸收層。根據積體電路佈局圖案化吸收層,藉此在吸收層內形成開口,其中開口內之封端層之第一部分未被吸收層覆蓋。使用具有氧或氮之至少一者的氣體,對開口內之封端層執行電漿製程,其中封端層之第一部分藉此摻雜有氧或氮之至少一者。
100、150、160、230、250‧‧‧光罩
102‧‧‧基板
104、252‧‧‧反射疊層
106、162‧‧‧封端層
106A‧‧‧第一部分
106B‧‧‧第二部分
108‧‧‧吸收層
110‧‧‧保護層
112‧‧‧參考線
114、116、232、234、236、238‧‧‧曲線
118‧‧‧虛線
200、220、300‧‧‧方法
202、204、206、208、210、212、222、224、302、304、306、308‧‧‧操作
226‧‧‧電漿製程
閱讀以下詳細敘述並搭配對應之圖式,可了解本揭露之多個態樣。應注意,根據業界中的標準做法,多個特徵並非按比例繪製。事實上,多個特徵之尺寸可任意增加或減少以利於討論的清晰性。
第1圖為本揭露之部分實施例之用於極紫外光光微影曝光工具之反射式光罩的截面圖。
第2圖為第1圖之部分實施例之反射式光罩之一特徵之厚度-反射率關係圖。
第3圖為本揭露之部分實施例之用於極紫外光光微影曝光工具之反射式光罩的截面圖。
第4圖為本揭露之部分實施例之用於極紫外光光微影曝光工具之反射式光罩的截面圖。
第5圖為本揭露之部分實施例之製造用於極紫外光光微影曝光工具之反射式光罩之方法的流程圖。
第6圖為本揭露之部分實施例之製造用於極紫外光光微影曝光工具之反射式光罩之方法的流程圖。
第7圖及第8圖為第6圖之部分實施例之方法所製造的反射式光罩之截面圖。
第9圖為第8圖之部分實施例之反射式光罩之一特徵之厚度-反射率關係圖。
第10圖為本揭露之部分實施例之用於極紫外光光微影曝光工具之反射式光罩的截面圖。
第11圖為第10圖之部分實施例之反射式光罩之一特徵之波長-反射率。
第12圖為本揭露之部分實施例之製造積體電路之方法的流程圖。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭露。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭露可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
第1圖為本揭露之一個或多個實施例之用於極紫外光光微影曝光工具之反射式光罩100的截面圖。
反射式光罩100包含基板102。由於高強度輻射所造成的高溫,基板102使用不易變形之材料。於本實施例中,基板102包含低熱膨脹材料(low thermal expansion material;LTEM)。低熱膨脹材料可包含熔凝石英(fused quartz)、碳化矽、氧化矽-氧化鈦合金,及/或其他適合之習知低熱膨脹材料。或者,基板102包含其他材料,如石英或玻璃, 取決於光罩之設計需求。基板102包含具有低缺陷水平及平滑之表面。
反射式光罩100包含沉積在基板102上之反射疊層(reflective multilayer;RML)104,亦可稱為複數鏡面層(multilayer mirror;MLM)。反射疊層104設計於反射照射至基板102上之輻射光。於一實施例中,反射疊層104包含沉積在基板102頂端之具有兩種材料之交替層。此兩種材料之交替層作為布拉格反射器(Bragg reflector),用於最大化反射光之反射,如波長為13.5奈米(nm)之極紫外光。
交替層之兩種材料的組合係挑選於在兩層之間提供具有較大之反射係數差(例如:根據菲涅耳方程式(Fresnel equations)使兩層之交界面具有較大之反射率),同時又提供較小之消光係數(例如:使吸收最小化)。於一實施例中,反射疊層104為鉬-矽(Mo-Si)雙層組。於另一實施例中,反射疊層104為鉬-鈹(Mo-Be)雙層組。反射疊層104之雙層組之各層的厚度根據照射至光罩上的入射光(如極紫外光)之波長以及入射角度而調整,使得從反射疊層104之不同介面所反射的光可以達到最大之建設性干涉(constructive interference)。一般而言,反射疊層104之反射率隨著反射疊層104中之雙層組的數量之增加。原則上,若雙層組的數量足夠多且層之材料的消光係數趨近於0,則反射疊層104的反射率可趨近100%,無論雙層組中各層的材料之反射係數差為多少。然而,在極紫外光的範圍中,反射率的最大值受限於反射疊層104之雙層組之材料的消光係數。於本實施例中,反射疊層104之雙層組的數量 約為20層至80層。例如,在所述實施例中,欲使反射疊層104達到超過90%之反射率,並且最小化光罩之製造時間及成本,反射疊層104包含約40層之雙層組,如40組鉬-矽雙層組。於進一步之實施例中,鉬-矽雙層組包含厚度約為3奈米至5奈米的矽層(例如:4奈米),以及厚度約為2奈米至4奈米(例如:3奈米)之鉬層。或者反射疊層104包含其他數量之雙層組,根據光罩之反射率規格而決定。或者,反射疊層104可包含多層組,亦即,三層或多層之具有不同反射係數或其他特性之材料以最大化反射率。
在本實施例中,反射疊層104包含鉬-矽薄膜雙層組。鉬-矽雙層組包含約40層之鉬-矽雙層組,且各鉬-矽雙層組的厚度合併約為7奈米。
於反射疊層104上沉積封端層106。由於封端層106與後續形成之吸收層具有不同蝕刻特性,因此封端層106具有保護反射疊層104之作用,例如在後續之吸收層的圖案化製程或修復製程作為蝕刻停止層。此外,同一封端層106亦設計於作為抗氧化阻層以保護反射疊層104受到氧化。同時,封端層106並不會降低反射疊層104之極紫外光的反射率。
光罩的氧化可有不同來源,如乾電漿-氧輔助蝕刻(dry plasma-oxygen assisted etching)、環境濕度、光罩修復以及光罩清潔。例如,用於極紫外光光罩清潔之氧化媒介可能與反射疊層104產生強烈氧化反應,造成反射疊層104之局部的矽轉換成二氧化矽,使得反射式光罩100之反射疊層104產生變形。此外,電子束基光罩修復(electron-beam-based mask repair)所使用之化學物亦可在局部表面產生強烈氧化,使得反射疊層104產生變形。現有的封端層對於乾電漿-氧輔助蝕刻、環境氧化汙染、光罩修復以及清潔所產生之氧化作用並沒有足夠的抗性。
本揭露之封端層106設計為具有高抗氧化之能力,使其有效地防止反射疊層104氧化,也因此稱為抗氧化阻層。對於抗氧化進一步而言,封端層106之設計對於不同化學物具有足夠之抗性且在多個化學製程(如:清潔及蝕刻)中具有足夠之耐久性。於部分實施例中,於後續製程中用於製造反射式光罩100的臭氧水對釕(Ru)元素所製成的封端層會造成破壞,使得極紫外光反射率大幅降低。且研究進一步發現,釕之氧化物可輕易地藉由蝕刻劑進行蝕刻,如氯(Cl2)或氟(F2)之氣體。此外,封端層106透過適當的設計,使得在光微影製程期間,極紫外光之反射率並不會衰退。於不同實施例中,基於上述之考量,封端層106設計並形成於應付上述之問題。
於部分實施例中,封端層106之成分以及型態設計於有效地防止氧穿越並擴散至反射疊層104。特別地,封端層106具有非晶結構,非晶結構內之平均內原子間距小於氧分子(O2)之動力學直徑。氧分子之動力學直徑(kinetic diameter)與擴散是具有相當關聯的,而氧分子之動力學直徑約為3埃(anstrom)。因此,封端層106之平均內原子間距小於3埃。封端層106形成為非晶態,原因是封端層106內若為多晶結構則為顆粒型態,而晶粒之間具有較大之邊界介面以提供氧擴散至反射疊層104之路徑,使得利用反射式光罩100進行光 微影製程的期間將會使反射疊層104退化並降低反射式光罩100之效能。
於部分實施例中,封端層106包含釕以及適合之金屬「M」的合金(釕-M合金),其中金屬「M」不易與氧反應。如上述所討論,釕-M合金之組成、結構及厚度係用於有效地防止氧擴散穿越封端層106。特別地,封端層106之釕-M合金為非晶結構。於部分實施例中,封端層106包含釕與鉑(Pt),或釕鉑合金。於部分實施例中,釕-M合金之金屬「M」為釙(Po)、汞(Hg)、鋨(Os)、銠(Rh)、鈀(Pd)、銥(Ir)、鉑,或上述之組合。釕-M合金進一步以釕鉑合金作為範例。
於後續之討論,封端層106包含釕鉑合金,原因是鉑幾乎不與氧反應。釕鉑合金之釕、鉑的比例經適當的調配使得釕鉑合金可有效地抗氧化。於本實施例中,釕鉑合金之質量比例範圍為1:1至3.5:1之間。於部分實施例中,封端層106之釕鉑合金形成於反射疊層104上之方法為透過物理氣相沉積(physical vapor deposition;PVD),並使用具有適當質量比例之釕鉑靶材,如封端層106之釕鉑合金之質量比例範圍為1:1至3.5:1之間。釕鉑合金可替代地或額外地使用其他適合之技術來沉積。
此外,釕鉑合金之封端層106具之足夠厚於提供抗氧化性及蝕刻抗性,而亦足夠薄以避免降低反射式光罩100之極紫外光反射率。反射率與釕鉑合金之厚度「t」進一步於第2圖中討論,並與釕元素作比較。應注意反射率為量測當下描述之封端層106以及反射疊層104之合併反射率。橫軸為封 端層106之厚度「t」,單位為奈米。縱軸為反射率,單位為百分比。例如,0.9代表90%之反射率。當封端層106之厚度改變,對應的反射率也相應改變。參考線112為反射式光罩100之實際運作時所要求之最低反射率。於本實施例中,最小反射率約為0.67。曲線114為釕薄膜之反射率,而曲線116為釕鉑合金薄膜之反射率。如第2圖所示,在相同的厚度之下,釕鉑合金薄膜相對於釕薄膜具有較低之反射率。若要求釕鉑合金薄膜之反射率至少為最小反射率或大於最小反射率,如參考線112,則釕鉑合金之厚度需等於或小於3.03奈米,如虛線118所指示。針對兩相對之因素的考量(一為抗氧化以及蝕刻抗性,另一為反射率),釕鉑合金之封端層106之厚度範圍依據部分實施例為2奈米至3奈米。於進一步之實施例中,釕鉑合金之封端層106之厚度為2.5奈米加減10%。
參照回第1圖,封端層106設計有其他成分且用其他方法形成。於部分實施例中,封端層106至少包含摻雜有氧或氮之鈦、矽、鋯之其中一者。於本實施例中,封端層106藉由氧或氮之至少一者來沉積及電漿製程欲沉積之層。電漿製程經調控使得摻雜劑僅被引入至封端層106而不會引入至反射疊層104。封端層106為氧化或氮化之鈦、矽、鋯薄膜。此封端層106之結構以及製造方法將會在後續步驟中進一步討論。
繼續參照第1圖,反射式光罩100包含形成於封端層106上之吸收層108。吸收層108沉積於封端層106上並經圖案化以界定積體電路之圖案,如根據積體電路之佈局而圖案化。吸收層108設計於在光微影曝光製程期間吸收輻射光(如極 紫外光)。輻射光穿越吸收層108之開口並透過反射疊層104反射,因此積體電路之圖案將被印在積體電路基板上,如矽晶圓。於本實施例中,吸收層108包含氮化硼鉭(TaBN)。於另一實施例中,吸收層108包含鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti),或鋁銅(Al-Cu)、鈀(Pd)、氮化硼鉭(TaBN)、氧化鋁(AlO)、鉬(Mo),及其他適合之材料。在又其他實施例中,吸收層108為多層結構。於一實施例中,吸收層108藉由沉積技術沉積,如化學氣相沉積(chemical vapor deposition;CVD),並藉由適合之製程圖案化,例如電子束光微影製程及蝕刻。
第3圖為本揭露之另一實施例之反射式光罩150的截面圖。反射式光罩150包含基板102、反射疊層104、封端層106及吸收層108。這些層在成份、配置及製造方面與對應之反射式光罩100類似。此外,反射式光罩150更包含配置於吸收層108上之保護層110。於部分實施例中,保護層110可保護吸收層108免於在光罩清潔製程期間受到高吸收材料的氧化。此外,由於部分吸收層108具有較差之清潔抗性,而保護層110可加強其清潔耐久性。
保護層110挑選於保護吸收層108,如避免氧化、蝕刻,或後續之包含蝕刻或清潔之製程所造成的破壞。於部分實施例中,保護層110之成分類似於封端層106。於不同實施例中,保護層110包含釕、釕合金、氧化或氮化之鈦、鋯、矽,或其他適合之材料。於其他實施例中,可用其他材料形成保護層110。於一實施例中,保護層110為碳化矽。
保護層110經圖案化,使得保護層110僅沉積在圖案化之吸收層108上。於形成反射式光罩150之一實施例中,先沉積吸收層108,再於吸收層108上沉積保護層110,接著執行圖案化製程,包含微影製程以及蝕刻,同時圖案化吸收層108及保護層110。蝕刻步驟可包含一蝕刻步驟,如乾蝕刻,或兩蝕刻步驟,如兩個濕蝕刻步驟,以進一步圖案化吸收層108及保護層110。
第4圖為本揭露之另一實施例之反射式光罩160的截面圖。反射式光罩160包含基板102、反射疊層104及吸收層108。這些層在成份及製造方面與對應之反射式光罩100類似。反射式光罩160進一步包含封端層162,封端層162配置於不同深度之反射疊層104及吸收層108上,藉此保護反射疊層104及吸收層108免於後續不同製程之破壞,如氧化或蝕刻損壞。在形成反射式光罩160之步驟中,吸收層108沉積於反射疊層104上並進一步圖案化以界定積體電路之圖案。封端層162沉積於吸收層108及反射疊層104之開口內。
封端層162可額外沉積於吸收層108之側壁上。於本案例中,封端層162之成分、樣態,以及厚度與第1圖之反射式光罩100之封端層106類似。特別地,封端層162為非晶結構之材料,且具有小於氧分子之動力學直徑之平均內原子間距。於部分實施例中,封端層162包含釕以及不易與氧反應之金屬的合金,如鉑。於部分實施例中,封端層162包含至少包含摻雜有氧或氮之鈦、矽、鋯之其中一者。
然而,封端層162在吸收層108圖案化之後形成。 此外,封端層162本身沉積後並沒有經過圖案化。封端層162包含了直接位於反射疊層104上之第一部分,以及直接位於吸收層108上之第二部分。封端層162之第一部份及第二部分位於不同之高度水平。
第5圖為本揭露之部分實施例之製造用於反射式光罩(如光罩100、150、160)之方法200的流程圖。方法200伴隨著第3圖及第5圖進行描述。方法200開始於操作202,提供基板102。由於高強度輻射所造成的高溫,基板102使用不易變形之材料。於部分實施例中,基板102包含低熱膨脹材料。低熱膨脹材料可包含熔凝石英、碳化矽、氧化矽-氧化鈦合金,及/或其他適合之習知低熱膨脹材料。或者,基板102包含其他材料,如石英或玻璃,取決於光罩之設計需求。
方法200進行至操作204,在基板102上沉積反射疊層104。於一實施例中,反射疊層104包含沉積在基板102頂端之具有兩種材料之交替層。此兩種材料之交替層作為布拉格反射器,用於最大化反射光之反射,如波長為13.5奈米之極紫外光。交替層之兩種材料的組合係挑選於在兩層之間提供具有較大之反射係數差,同時提供較小之消光係數並使吸收最小化。於一實施例中,反射疊層104為鉬-矽雙層組。於另一實施例中,反射疊層104為鉬-鈹雙層組。反射疊層104之沉積是藉由物理氣相沉積或其他適合之沉積技術。例如,藉由物理氣相沉積或其他適合之沉積技術將鉬和矽交替地沉積並形成反射疊層104。此範例進一步而言,利用鉬靶材將鉬濺鍍在基板102上,並利用矽靶材將矽濺鍍在基板102上。此製程迴圈將反覆 進行至鉬-矽雙層組形成至一定的數量。於一實施例中,反射疊層104之雙層組的數量為20層至80層。於另一實施例中,鉬-矽雙層組包含厚度約為3奈米至5奈米的矽層,以及厚度約為2奈米至4奈米之鉬層。
方法200進行至操作206,於反射疊層104上沉積封端層106。封端層106是藉由物理氣相沉積或適合之技術形成。封端層106包含抗氧化阻層。抗氧化阻層為非晶結構,且非晶結構內之平均內原子間距小於氧分子之直徑(如:3埃)。於不同實施例中,封端層106包含釕-M合金,其中「M」為不易與氧反應之金屬,如鉑,或至少包含摻雜有氧或氮之鈦、矽、鋯之其中一者。
方法200進行至操作208,於封端層106上形成吸收層108。於本實施例中,吸收層108包含氮化硼鉭(TaBN)。於其他實施例中,吸收層108包含鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti),或鋁銅(Al-Cu)、鈀(Pd)、氮化硼鉭(TaBN)、氧化鋁(AlO)、鉬(Mo),及其他適合之材料。在又其他實施例中,吸收層108為多層結構。於一實施例中,吸收層108藉由沉積技術沉積,如化學氣相沉積、物理氣相沉積,或其他適合之技術。
方法200進行至操作210,於吸收層108上形成保護層110。保護層110之材料在成份及製造方面類似於封端層106。
方法200進行至操作212,圖案化保護層110及吸收層108。於一實施例中,操作212包含微影製程以及蝕刻製 程。微影製程包含在光罩150上塗布一光阻層,將輻射光束(如:電子束)照射光阻層以曝光並顯影光阻層,形成圖案化之光阻層。微影製程更包含其他步驟,如軟烤、曝光後烘烤或硬烤。蝕刻製程可包含一個或多個蝕刻步驟。於一實施例中,蝕刻製程包含一乾蝕刻以蝕刻保護層110及吸收層108。於另一實施例中,蝕刻製程包含兩步驟,兩步驟分別具有蝕刻劑以選擇性蝕刻不同材料層(如:保護層110及吸收層108)。
於另一實施例中,當方法200用於形成第1圖之反射式光罩100時,由於不具有保護層110,方法200省略操作210。此外,操作212僅設計於圖案化吸收層108。
又一實施例中,當方法200用於形成第4圖之反射式光罩160時,封端層162形成於反射疊層104及吸收層108上,方法200省略操作206且操作212僅設計於圖案化吸收層108。此外,操作210在操作212後執行,藉此將封端層162分別形成於反射疊層104及吸收層108上之區域。
第6圖為本揭露之部分實施例之形成反射式光罩230之方法220的流程圖。第7圖與第8圖為反射式光罩230之截面圖。特別地,反射式光罩230之封端層106為經氧化或氮化之材料。方法220透過第6圖至第8圖描述。方法220之部分操作類似於方法200。為簡化之目的,相似的文字將省略。方法220開始於操作202,提供基板102。於本實施例中,基板102包含低熱膨脹材料。
方法220進行至操作204,在基板102上沉積反射疊層104。於一實施例中,反射疊層104包含沉積在基板102 頂端之具有兩種材料之交替層。此兩種材料之交替層作為布拉格反射器,用於最大化反射光之反射。於一實施例中,反射疊層104為鉬-矽雙層組。於另一實施例中,反射疊層104為鉬-鈹雙層組。
方法220進行至操作222,於反射疊層104上沉積封端層106。封端層106包含鈦、矽、鋯或上述之組合。於部分實施例中,封端層106包含二氧化矽(SiO2)、二氧化鈦(TiO2)、二氧化鋯(ZrO2)、氧化鋅(ZnO)、二氧化錫(SnO2)、鎢(W)、鈦酸鍶(SrTiO3)、氧化鈮(Nb2O5),或鉭酸鉀(KTaO3)。封端層106藉由適合之技術沉積,如化學氣相沉積或物理氣相沉積。封端層106之厚度控制在一特定範圍內,使得極紫外光之反射率不會衰退,將會在操作224中進一步討論。
方法220進行至操作208,於封端層106上形成吸收層108。於本實施例中,吸收層108包含氮化硼鉭(TaBN)。於另一實施例中,吸收層108包含鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti),或鋁銅(Al-Cu)、鈀(Pd)、氮化硼鉭(TaBN)、氧化鋁(AlO)、鉬(Mo),及其他適合之材料。吸收層108藉由沉積技術沉積,如化學氣相沉積、物理氣相沉積,或其他適合之技術。
方法220進行至操作210,於吸收層108上形成保護層,如第3圖之保護層110。或者,於部分實施例中,可省略保護層。
方法220進行至操作212,根據積體電路之圖案,圖案化吸收層108(若具有保護層110,亦圖案化保護層110)。 於一實施例中,操作212包含微影製程以及蝕刻製程。經過圖案化之吸收層108具有一個或多個開口,使開口內之部分封端層106不被吸收層108覆蓋。
方法220進行至操作224,對封端層106執行電漿製程226,並利用氧或氮之至少一者氧化或氮化封端層106,如第8圖所示。許多研究已顯示多種因素與端層106的表現相關,特別是光罩許多功能。電漿製程226是基於這些因素之考量而設計的,且已於前方敘述並會在後方進一步討論。
電漿製程226設計於將氧或氮之至少一者引入至封端層106以增加有效的抗氧化能力。特別地,執行電漿製程之封端層106受到氧化或氮化後具有緊密堆疊的結構,使得平均內原子間距小於氧分子之動力學直徑(如:3埃)。更特別地,執行電漿製程之封端層106具有抗氧化性之非晶態。可適當地設計電漿製程226,以避免在封端層106內形成多晶結構。於其他實施例中,電漿製程226受到調控使得氧(或氮)不會被引入至反射疊層104,以維持反射疊層104之反射率。於部分實施例中,應用在封端層106之電漿製程226之電漿源功率範圍為300瓦至400瓦。電漿偏壓功率範圍為0至50瓦。氣體之氣流量為100sccm至500sccm(standard-state cubic centimeter per minute),腔體壓力範圍為1mTorr至10mTorr,而電漿製程206之持續時間範圍為30秒至300秒。
在使用氧及氮對封端層106執行電漿製程後,材料之晶粒縮小且封端層106轉為非晶態。由於非晶相意味著比多晶結構具有更少的擴散路徑,因此非晶態之封端層106可做 為抗氧化阻層。氮化之封端層106亦增加了硬度以及蝕刻抗性。執行電漿製程之封端層106亦增加了自清理功能。例如,電漿製程之封端層106具有羥基(˙OH),及/或超氧化物(˙O2-),可與污染物(如:碳)進行反應並產生化學物(如:二氧化碳),產生之化學物可自污染面上揮發。
此外,封端層106之厚度與反射率相關。封端層106之厚度足夠厚於提供抗氧化性及蝕刻抗性,亦足夠薄以避免降低反射率。第9圖為不同材料與其對應之極紫外光反射率。第9圖與第2圖類似,但包含了氧化材料及氮化材料之數據。橫軸為封端層106之厚度「t」,單位為奈米。縱軸為反射率,單位為百分比。參考線112為反射式光罩100在微影製程中實際運作所要求之最低的反射率。於本實施例中,最小反射率約為0.67。曲線114為釕薄膜之反射率。曲線232為二氧化矽之反射率。曲線234為二氧化鈦之反射率。曲線236為二氧化鋯之反射率。曲線238為氮化鉻之反射率。相似地,為了達到最低反射率,各材料之厚度的上限為參考線112與對應之曲線的交點。厚度上限亦標示於第9圖。例如,氮化鉻之厚度小於2.61奈米。二氧化鈦之厚度小於3.21奈米。二氧化鋯之厚度小於3.89奈米。二氧化矽之厚度小於4.16奈米。針對兩相對之因素的考量(一為抗氧化以及蝕刻抗性,另一為反射率),釕鉑合金之封端層106之厚度範圍依據部分實施例為2.5奈米至4奈米。於部分實施例中,封端層106之厚度略小於對應之厚度上限「T0」,如範圍為70%之T0至95%之T0
參照回第8圖,以吸收層108作為電漿製程遮罩, 對吸收層108之開口內的封端層106進行電漿製程。吸收層108有效地覆蓋部分封端層106,避免下方之封端層106進行電漿製程。如第8圖所示,封端層106包含未被吸收層108覆蓋之第一部分106A,以及被吸收層108覆蓋之第二部分106B。第一部分106A位於吸收層108內。在電漿製程226後,第二部分106A產生變化(如:氧化或氮化),而第二部分106B維持未經電漿摻雜之狀態,因此第二部分106B與第一部分106A之成分不同。
於部分實施例中,第8圖之反射式光罩230之配置與第4圖之反射式光罩160之配置類似,且都執行電漿製程。在此案例中,反射式光罩230之形成方法與反射式光罩160類似。特別地,在形成吸收層108之後,在反射疊層104以及吸收層108上沉積封端層,且藉由操作224進行電漿製程。
第10圖為本揭露之部分實施例之反射式光罩250之截面圖。反射式光罩250與反射式光罩100在一些方面相同,而在一些方面不相同。相似之文字將不再重複。反射式光罩250包含基板102。於本實施例中,基板102包含低熱膨脹材料,例如熔凝石英、碳化矽、氧化矽-氧化鈦合金,及/或其他適合之習知低熱膨脹材料。
反射式光罩250包含沉積在基板102上之反射疊層252。反射疊層252將在後續作進一步討論。於反射疊層252上沉積封端層106。由於封端層106與吸收層具有不同蝕刻特性,因此封端層106具有保護反射疊層252之作用,例如在後續之吸收層的圖案化製程或修復製程作為蝕刻停止層。此 外,同一封端層106亦設計於作為抗氧化阻層以保護反射疊層252受到氧化。同時,封端層106並不會降低反射疊層252之極紫外光的反射率。於不同實施例中,封端層106為釕薄膜、釕-M合金或氧化/氮化薄膜,如前方之不同實施例所討論。
反射式光罩250包含形成於封端層106上之吸收層108。吸收層108沉積於封端層106上並經圖案化以界定積體電路之圖案,如根據積體電路之佈局而圖案化。吸收層108設計於在光微影曝光製程期間吸收輻射光(如極紫外光)。
參照回反射疊層252。反射疊層252設計於反射照射至基板102之輻射光。反射疊層104包含沉積在基板102頂端之具有兩種材料之交替層。此兩種材料之交替層作為布拉格反射器,用於最大化反射光之反射,如波長為13.5奈米之極紫外光。於本實施例中,反射疊層252包含成對之鉬層252A及矽層252B。例如,在所述實施例中,欲使反射疊層252達到超過90%之反射率,並且最小化光罩之製造時間及成本,反射疊層252包含約40層之鉬-矽雙層組於進一步之實施例中,鉬-矽雙層組包含厚度約為3奈米至5奈米的矽層(例如:4奈米),以及厚度約為2奈米至4奈米(例如:3奈米)之鉬層。然而,反射疊層252之最上層為鉬層252A。封端層106與最上層之鉬層252A直接接觸。原因是相較於矽,鉬與氧較不易反應。這樣的配置下,反射疊層252對於氧化之破壞具有較佳之耐久性。第11圖為此配置維持反射疊層252之反射率的實驗數據。第11圖為反射率對波長之變化圖,其中在光微影曝光中,極紫外光以6度之傾斜角度照射至光罩。不同的曲線分別為,20層(交替之鉬、矽)、 30層、40層及60層之反射疊層之反射率的數據。
第12圖為本揭露之部分實施例之製造積體電路之方法300的流程圖。方法300開始於圖案化半導體基板或適合之基板,以在上方形成積體電路。於本實施例中,半導體基板包含矽。替代地或額外地,半導體可包含鍺、矽鍺或其他適合之半導體材料,如鑽石、碳化矽,或砷化鎵。半導體基板可進一步包含額外特徵及/或其他材料層,如形成於基板內之不同隔離特徵。半導體基板可包含不同P型摻雜區及/或N型摻雜區,配置及耦合以形成不同元件或具有功能性之特徵。所有的摻雜特徵可藉由適合之製程完成,如多個步驟或技術之離子植入製程。半導體基板可包含其他特徵,如淺溝槽絕緣(shallow trench isolation;STI)特徵。半導體基板亦包含部分內連接結構,內連接結構包含不同金屬層之金屬線、用於垂直相鄰之金屬層的金屬線之導孔,以及位於基板上用於連接第一金屬層之金屬線與不同元件特徵(如:閘極、源極、汲極)之接觸特徵。
方法300包含操作302,在半導體基板(或其他適合基板)上形成材料層。以一實施例作描述,材料層包含介電材料,如層間介電質層(interlayer dielectric;ILD),以形成導電特徵(如金屬線、導孔或接觸點)。層間介電質層可包含氧化矽、低介電常數材料(如低於熱氧化矽之介電常數)。層間介電質層可包含一個或多個介電質薄膜。層間介電質層可藉由化學氣相沉積、旋塗,或其他適合之技術沉積在基板上。材料層交替地包含其他欲圖案化之材料。例如,材料層可包含導電材料(如摻雜之多晶矽、金屬或金屬和金)並經圖案化以在積體電 路內形成場效電晶體之閘極電極。
方法300進行至操作304,在材料層上形成光阻層。光阻層在後續之光微影曝光製程期間可感應曝光源之輻射。於本實施例中,光阻層可感應光微影曝光製程期間所使用之極紫外光。光阻層可藉由旋塗或其他適合之技術形成於材料層上。塗佈後之光阻層可進一步烘烤以逼出光阻層內之溶劑。
光阻層之圖案化包含:使用極紫外光光阻,並執行具有極紫外光曝光系統之光微影曝光製程。在曝光製程期間,極紫外光光罩上所定義之積體電路圖案被轉移至光阻層上,以形成潛在之圖案。光阻層之圖案化進一步包含將曝光之光阻層顯影,使圖案化之光阻層具有一個或多個開口。於一實施例中,光阻層為正光阻,光阻層之曝光的部分在顯影過程中被移除。光阻層之圖案化更包含其他製程步驟,如不同步驟之烘烤步驟。例如,可在光微影曝光製程之後以及顯影製程之前執行曝光後烘烤製程。
方法300進行至操作308,使用圖案化之光阻層進一步圖案化材料層。在一實施例中,圖案化材料層包含使用圖案化之光阻層作為蝕刻遮罩,對材料層進行蝕刻製程。材料層自圖案化之光阻層之開口暴露的部分被蝕刻,而其他部分則受到保護。於本實施例中,操作308在層間介電質層內形成多個溝槽。
方法300可包含其他製程步驟。例如,在操作308之後,圖案化之光阻層可藉由濕剝離或電漿灰化製程移除。於另一實施例中,可在層間介電質層之溝槽內形成(如沉積或平 坦化)一個或多個導電特徵以形成用於電子繞線之對應的導電特徵(如金屬線)。
於替代實施例中,方法300可包含操作304、306及308以在半導體基板內形成摻雜特徵。於本案例中,圖案化之光阻層是以操作304及306作為離子植入遮罩,並在操作308中對半導體基板執行離子植入製程。離子植入製程透過圖案化之光阻層的開口,將摻雜物種引入至半導體基板內。
本揭露提供反射式光罩及其製造方法。於部分實施例中,反射式光罩包含封端層,封端層為非晶結構且具有小於氧分子之動力學直徑之平均內原子間距。例如,封端層包含釕鉑合金。於其他範例中,封端層包含氧化或氮化之鈦、鋯或矽材料。本揭露之部分實施例提供超出現有技術之優點,亦應了解其他實施例可具有不同優點,並非所有優點皆需存在於各實施例中,而各實施例亦不需要某些特定之優點。反射式光罩具有強效之抗氧化以及蝕刻抗性,且不會弱化極紫外光反射率。在封端層使用氧及氮之電漿製程中,材料之晶粒縮小且封端層轉變為非晶結構。非晶結構意味著比多晶結構具有更少的擴散路徑且可作為抗氧化阻層。此外,封端層之氮化亦可增加封端層之硬度以及蝕刻抗性。於其他態樣中,氧化鈦及氧化鋅被認為是最好的光觸媒(photocatalyst),因其具有長期穩定性、低成本,以及足夠強度之氧化能量(有利於分解有機汙染物)。氧化鈦及氧化鋅之特性可應用於玻璃、瓦片、過濾器,以及許多其他材料上以進行自清潔、除臭、自除菌、抗霧,以及空氣清淨之功能。這些特性是基於光生活性氧物種 (photogenerated active oxygen species),包含羥基與超氧化物。
因此,本揭露之部分實施例提供一種反射式光罩。反射式光罩包含基板、反射疊層、抗氧化阻層以及吸收層。其中反射疊層配置於基板上。抗氧化阻層配置於反射疊層上,且抗氧化阻層為非晶態且具有小於氧分子之動力學直徑的平均內原子間距。吸收層配置於抗氧化阻層上,且根據積體電路佈局進行圖案化。
本揭露之部份其他實施例提供一種反射式光罩。反射式光罩包含基板、反射疊層、封端層以及吸收層。基板為低熱膨脹材料。反射疊層配置於基板上,且具有複數個交替之鉬-矽薄膜,其中最上層為鉬薄膜。封端層形成於反射疊層上,其中封端層配置並直接接觸反射疊層之最上層的鉬薄膜。吸收層配置於封端層上,且根據積體電路佈局進行圖案化。
本揭露之部分實施例提供一種製造反射式光罩的方法。方法包含在低熱膨脹材料之基板上形成反射疊層。形成封端層,其中封端層係選自於由鈦、矽及鋯所組成之群組。在封端層上形成吸收層。根據積體電路佈局圖案化吸收層,藉此在吸收層內形成開口,其中開口內之封端層之第一部分未被吸收層覆蓋。使用具有氧或氮之至少一者的氣體,對開口內之封端層執行電漿製程,其中封端層之第一部分藉此摻雜有氧或氮之至少一者。
本揭露之部分實施例亦提供一種製造積體電路的方法。包含在半導體基板上形成光阻層。使用光罩,對光阻層 執行光微影製程,其中光罩包含低熱膨脹材料之基板。配置反射疊層於基板上。配置抗氧化阻層於反射疊層上。配置吸收層於抗氧化阻層上且根據積體電路佈局圖案化吸收層,抗氧化阻層為非晶態,且具有小於氧分子之動力學直徑的平均內原子間距。於部分實施例中,抗氧化阻層包含釕鉑合金。於部分其他實施例中,抗氧化阻層至少包含摻雜有氧或氮之鈦、矽、鋯之其中一者。
上文概述了若干實施例的特徵,以便本領域熟習此項技藝者可更好地理解本揭示案的態樣。本領域熟習此項技藝者應當瞭解到他們可容易地使用本揭示案作為基礎來設計或者修改其他製程及結構,以實行相同目的及/或實現相同優勢的。本領域熟習此項技藝者亦應當瞭解到,此類等效構造不脫離本揭示案的精神及範疇,以及在不脫離本揭示案的精神及範疇的情況下,其可對本文進行各種改變、取代及變更。
100‧‧‧光罩
102‧‧‧基板
104‧‧‧反射疊層
106‧‧‧封端層
108‧‧‧吸收層

Claims (10)

  1. 一種反射式光罩,包含:一基板;一反射疊層,配置於該基板上;一抗氧化阻層,配置於該反射疊層上,該抗氧化阻層為非晶結構且具有一平均內原子間距,其中該平均內原子間距小於氧分子之動力學直徑;以及一吸收層,配置於該抗氧化阻層上,並根據一積體電路佈局進行圖案化。
  2. 如請求項1所述之反射式光罩,其中該抗以化阻層包含鈦、矽,及鋯之至少一者;該抗氧化組層包含一第一部分及一第二部分,其中該第一部分被該吸收層覆蓋,而該第二部分未被該吸收層覆蓋;該抗氧化組層之該第一部分摻雜有氧及氮之至少一者;以及該抗氧化組層之該第二部分不摻雜任何氧及氮。
  3. 如請求項1所述之反射式光罩,其中該反射疊層包含複數個交替的鉬-矽薄膜或鉬-鈹薄膜。
  4. 如請求項1所述之反射式光罩,更包含一保護層,形成於該吸收層上,其中該保護層與該吸收層皆根據該積體電路佈局進行圖案化。
  5. 如請求項1所述之反射式光罩,其中該抗氧化組層包含由釕與一金屬所形成之一合金,其中該金屬係選自由釙、汞、鋨、銠、鈀、銥、鉑所組成之群組。
  6. 一種反射式光罩,包含:一基板,其中該基板為低熱膨脹材料;一反射疊層,形成於該基板上,其中該反射疊層包含複數個交替之鉬-矽薄膜,且最頂層為一鉬薄膜;一封端層,形成於一該反射疊層上,且該封端層具有一材料,其中該封端層配置於該反射疊層之最頂層之該鉬薄膜上且直接接觸最頂層之該鉬薄膜;以及一吸收層,配置於該封端層上,並根據一積體電路佈局進行圖案化。
  7. 如請求項6所述之反射式光罩,其中該材料包含由釕與一金屬所形成之一合金,其中該金屬係選自由釙、汞、鋨、銠、鈀、銥、鉑所組成之群組。
  8. 如請求項6所述之反射式光罩,其中該材料包含鈦、矽,及鋯之至少一者。
  9. 如請求項8所述之反射式光罩,其中該吸收層包含一開口;該封端層包含位於該開口內之一第一部分,以及被該吸收層覆蓋之一第二部分;以及 該封端層之該第一部分摻雜有氧或氮之至少一者,而該封端層之該第二部分未摻雜任何氧或氮。
  10. 一種製造反射式光罩的方法,包含:在具有熱膨脹材料之一基板上形成一反射疊層;形成一封端層,該封端層具有一材料,其中該材料係選自於由鈦、矽,及鋯所組成之群組;在該封端層上形成一吸收層;根據一積體電路佈局,圖案化該吸收層,藉此在該吸收層內形成一開口,其中該封端層之一第一部分位於該開口內,且該第一部分未被該吸收層覆蓋;以及對該開口內之該封端層執行一電漿製程,並使用包含氧及氮之至少一者之一氣體,其中該封端層之該第一部分藉此摻雜有氧及氮之其中一者。
TW106115026A 2016-06-01 2017-05-05 反射式光罩及其製造方法 TWI639883B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/169,953 US10061191B2 (en) 2016-06-01 2016-06-01 High durability extreme ultraviolet photomask
US15/169,953 2016-06-01

Publications (2)

Publication Number Publication Date
TW201743127A true TW201743127A (zh) 2017-12-16
TWI639883B TWI639883B (zh) 2018-11-01

Family

ID=60327971

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106115026A TWI639883B (zh) 2016-06-01 2017-05-05 反射式光罩及其製造方法

Country Status (4)

Country Link
US (3) US10061191B2 (zh)
CN (1) CN107452602B (zh)
DE (1) DE102016114882B4 (zh)
TW (1) TWI639883B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11448956B2 (en) 2019-09-05 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
KR102402767B1 (ko) * 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11048158B2 (en) 2018-04-18 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for extreme ultraviolet lithography mask treatment
US11360384B2 (en) * 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
TW202026770A (zh) * 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US20210146400A1 (en) * 2019-11-15 2021-05-20 The Boeing Company Intumescent directed energy protection
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI768718B (zh) * 2020-04-28 2022-06-21 台灣積體電路製造股份有限公司 製造半導體元件的方法
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11442356B2 (en) * 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer
US11829062B2 (en) * 2020-05-22 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. EUV photo masks and manufacturing method thereof
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20230109644A (ko) * 2020-12-03 2023-07-20 에이지씨 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크, euv 리소그래피용 반사형 마스크 및 그들의 제조 방법
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US20230032950A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Euv photo masks and manufacturing method thereof
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2812450B1 (fr) * 2000-07-26 2003-01-10 France Telecom Resine, bi-couche de resine pour photolithographie dans l'extreme ultraviolet (euv) et procede de photolithogravure en extreme ultraviolet (euv)
DE10223113B4 (de) 2002-05-21 2007-09-13 Infineon Technologies Ag Verfahren zur Herstellung einer photolithographischen Maske
US6869734B1 (en) * 2002-07-31 2005-03-22 Advanced Micro Devices, Inc. EUV reflective mask having a carbon film and a method of making such a mask
US6986971B2 (en) 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
JP4300930B2 (ja) * 2003-08-07 2009-07-22 凸版印刷株式会社 極限紫外線露光用マスク及びブランク並びにパターン転写方法
KR100604938B1 (ko) * 2005-05-27 2006-07-28 삼성전자주식회사 극자외선 노광용 반사마스크 및 그 제조방법
TWM391119U (en) 2010-06-02 2010-10-21 Formosa Mask Co Ltd Photo-mask having barcode
WO2012005524A2 (en) 2010-07-08 2012-01-12 Lg Innotek Co., Ltd. The printed circuit board and the method for manufacturing the same
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
TWI459875B (zh) 2012-04-20 2014-11-01 Far Eastern New Century Corp A method for preparing a circuit board having a patterned conductive layer
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8658333B2 (en) 2012-06-04 2014-02-25 Nanya Technology Corporation Reflective mask
US8663878B2 (en) * 2012-07-05 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9046781B2 (en) 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
KR20150044765A (ko) 2013-10-17 2015-04-27 삼성전자주식회사 포토마스크 세정 방법
KR101713382B1 (ko) * 2013-11-22 2017-03-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 극자외선 리소그래피 공정 및 마스크
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11448956B2 (en) 2019-09-05 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask
TWI798584B (zh) * 2019-09-05 2023-04-11 台灣積體電路製造股份有限公司 反射性光罩及其製造方法
US11846881B2 (en) 2019-09-05 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. EUV photomask

Also Published As

Publication number Publication date
US10061191B2 (en) 2018-08-28
CN107452602B (zh) 2020-02-21
CN107452602A (zh) 2017-12-08
US10642148B2 (en) 2020-05-05
US20180373138A1 (en) 2018-12-27
US11003069B2 (en) 2021-05-11
DE102016114882A1 (de) 2017-12-07
DE102016114882B4 (de) 2021-03-18
US20170351169A1 (en) 2017-12-07
US20200264503A1 (en) 2020-08-20
TWI639883B (zh) 2018-11-01

Similar Documents

Publication Publication Date Title
TWI639883B (zh) 反射式光罩及其製造方法
JP6343690B2 (ja) 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
TWI236051B (en) A novel method of trimming technology
TWI684822B (zh) 空白遮罩、相位移轉遮罩及半導體元件之製造方法
TWI629556B (zh) 遮罩基底、相位轉移遮罩及半導體元件之製造方法
JP7193344B2 (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
TWI764604B (zh) 製造反射遮罩之方法
US11592737B2 (en) EUV photo masks and manufacturing method thereof
JP2017076152A (ja) マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
JP6768987B2 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
KR100720334B1 (ko) 하프톤형 위상반전 블랭크 마스크 및 그 제조방법
TWI584060B (zh) A blank cover, a transfer cover, a manufacturing method of a blank cover, a manufacturing method of a transfer cover, and a method of manufacturing the semiconductor element
TWI754441B (zh) 用於極紫外光微影之空白罩幕以及光罩
JP6490786B2 (ja) マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
US20230251563A1 (en) Euv photo masks and manufacturing method thereof
US11846881B2 (en) EUV photomask
TWI297103B (en) Surface treatment method and mask blank and photomask therefrom
US11829062B2 (en) EUV photo masks and manufacturing method thereof
JP2007273668A (ja) 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法
TWI760057B (zh) 反射遮罩及其製造方法
US20230205072A1 (en) Euv photo masks and manufacturing method thereof
KR100594939B1 (ko) 반도체 소자의 콘택 플러그 형성 방법