KR100822276B1 - 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법 - Google Patents

보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법 Download PDF

Info

Publication number
KR100822276B1
KR100822276B1 KR1020060007612A KR20060007612A KR100822276B1 KR 100822276 B1 KR100822276 B1 KR 100822276B1 KR 1020060007612 A KR1020060007612 A KR 1020060007612A KR 20060007612 A KR20060007612 A KR 20060007612A KR 100822276 B1 KR100822276 B1 KR 100822276B1
Authority
KR
South Korea
Prior art keywords
layer
chromium
etching
depositing
patterned
Prior art date
Application number
KR1020060007612A
Other languages
English (en)
Other versions
KR20060086863A (ko
Inventor
마드하비 찬드라추드
아자이 쿠마
와이-팬 야우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060086863A publication Critical patent/KR20060086863A/ko
Application granted granted Critical
Publication of KR100822276B1 publication Critical patent/KR100822276B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/36Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions at least one coating being a metal

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

크롬을 에칭하고 포토마스크를 형성하는 방법이 제공된다. 일 실시예에서, 상기 방법은 프로세싱 챔버에 크롬층을 갖는 막 스택을 제공하는 단계, 막 스택 상에 포토레지스트층을 패터닝하는 단계, 패터닝된 포토레지스트층상에 컨포멀한 보호층을 증착하는 단계, 패터닝된 포토레지스트층을 통해 크롬층이 노출되도록 컨포멀한 보호층을 에칭하는 단계, 및 크롬층을 에칭하는 단계를 포함한다. 본 발명의 크롬 에칭 방법은 포토마스크를 제조하는데 특히 적합하다.

Description

보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법{METHOD FOR PHOTOMASK PLASMA ETCHING USING A PROTECTED MASK}
도 1은 크롬층을 에칭하기에 적합한 에칭 반응기의 일 실시예의 개략적 단면도;
도 2는 크롬층을 에칭하는 방법의 일 실시예를 나타내는 흐름도;
도 3a-3i는 본 발명의 크롬층 에칭 방법의 일 실시예를 이용하여 제조된 석영 포토마스크의 일 실시예;
도 4a-4g는 본 발명의 크롬층 에칭 방법의 일 실시예를 이용하여 제조된 석영 위상 이동 마스크의 일 실시예;
도 5a-5f는 본 발명의 크롬층 에칭 방법의 일 실시예를 이용하여 제조된 석영 위상 이동 마스크의 일 실시예; 및
도 6은 도 1의 반응기를 포함하는 예컨대, 클러스터 툴과 같은 프로세싱 시스템의 일 실시예의 개략도이다.
* 도면의 주요 부분에 대한 간단한 설명 *
100 : 에칭 프로세싱 챔버 102 : 챔버 바디
110 : 안테나 118: 채널
본 발명의 실시예들은 크롬의 플라즈마 에칭 방법에 관한 것으로, 특히 포토마스크를 제조하는 동안 크롬층을 에칭하는 방법에 관한 것이다.
집적회로(IC) 또는 칩 제조시, 칩의 상이한 층들을 나타내는 패턴이 칩 설계자에 의해 형성된다. 제조 프로세스 동안 반도체 기판상에 각각의 칩층의 설계를 전사시키기 위해 상기 패턴으로부터 일련의 재사용가능한 마스크, 또는 포토마스크들이 형성된다. 마스크 패턴 발생 시스템은 정밀 레이저 또는 전자 빔을 사용하여 각각의 마스크 상에 각각의 칩 층 설계를 이미지화시킨다. 다음 마스크가 반도체 기판상에 각각의 층에 대한 회로 패턴을 전사키기기 위해 포토그래피 네거티브형으로 사용된다. 이들 층들은 프로세스 시퀀스를 이용하여 증착되며 각각의 완성된 칩을 포함하는 작은 트랜지스터 및 전기 회로로 전사된다. 따라서, 마스크에서의 임의의 결함이 칩으로 전사될 수 있어, 잠재적으로 칩 성능에 나쁜 영향을 미칠 수 있다. 결함이 많으면 마스크를 완전히 이용하지 못할 수 있다. 통상적으로 15-30개의 마스크 세트가 칩을 구성하는데 사용되며 반복적으로 사용될 수 있다.
통상적으로 마스크는 한쪽 측면상에 크롬층을 갖는 유리 또는 석영 기판이다. 크롬층은 비반사 코팅 및 감광성 레지스트로 커버된다. 패터닝 프로세스 동안, 자외선 광에 레지스트 부분을 노출시키고 노출된 부분이 현상액에서 용해가능하게 함으로써 상기 마스크상에 회로 디자인이 기록된다. 그 후, 레지스트의 용해가능한 부분이 제거되어 패턴이 형성된다. 이러한 패턴은 노출된 하부 크롬이 에칭되게 한다. 에칭 프로세스는 레지스트가 제거되는 위치에서 마스크로부터 크롬 및 비반사층들을 제거하는데, 즉, 노출된 크롬이 제거된다.
패터닝에 이용되는 또 다른 마스크는 석영 위상 이동 마스크로서 공지되어 있다. 석영 위상 이동 마스크는, 패터닝된 크롬층을 통해 노출된 석영 영역들의 교번하는 인접한 영역들이 제조 동안 기판에 회로 패턴을 전사시키기 위해 이용되는 광 파장의 절반과 대략 동일한 깊이로 에칭된다는 것을 제외하고, 상기 개시된 마스크와 유사하다. 크롬층은 석영 에칭 이후 제거된다. 따라서, 기판상에 배치되는 레지스트를 노출시키기 위해 광이 석영 위상 이동 마스크를 통해 투과됨에 따라, 마스크의 하나의 개구부를 통해 레지스트에 충돌하는 광은 바로 인접한 개구부들을 통과하는 광을 기준으로 위상이 180도 벗어나게 된다. 따라서, 마스크 개구부의 에지에서 산란될 수 있는 광은 인접한 개구부의 에지에서 산란되는 광의 위상이 180도 벗어남으로써 소거되어, 레지스트의 예정된 영역에 엄격한 광 분포가 야기된다. 엄격한 광 분포는 보다 작은 최소선폭을 갖는 피쳐(feature)의 기록을 용이하게 한다. 유사하게, 크롬리스(chromeless) 에칭 리소그래피를 위해 사용되는 마스크는 또한 레지스트를 순차적으로 이미지화시키기 위해 2개의 마스크의 석영 부분을 통과하는 광의 위상 이동을 이용하여, 레지스트 패턴을 현상하기 위해 이용되는 광 분포를 개선시킨다. 마스크를 지나는 광의 위상 이동은 패터닝된층의 개구부들을 통해 노출된 석영 기판을 통과하는 광에 대해 위상이 180도 벗어난 마스크의 패터닝된 부분들을 통과하는 이미징 광이 야기되는 몰리브덴으로 도핑된 실리콘 질화물(SiN)의 패터닝된 층을 이용하여 구현된다.
건식 에칭, 반응성 이온 에칭, 또는 플라즈마 에칭으로 공지된 에칭 프로세스에서, 플라즈마는 화학 반응을 강화시키고 마스크의 패터닝된 크롬 영역을 에칭하는데 이용된다. 바람직하지 못하게, 종래의 크롬 에칭 프로세스는 크롬층을 패터닝하는데 이용되는 포토레지스트 재료상의 공격으로 인해 종종 에칭 바이어스를 나타낸다. 크롬 에칭 동안 레지스트가 공격됨에 따라, 패터닝된 레지스트의 최소선폭이 크롬층에 정확하게 전사되지 않는다. 따라서, 종래의 크롬 에칭 프로세스는 약 5㎛ 미만의 최소선폭을 갖는 마스크에 대해 허용가능한 결과를 산출할 수 없다. 이는 마스크의 에칭된 피쳐의 불균일성을 야기시켜 마스크를 사용하는 작은 최소선폭을 갖는 장치에 대해 피쳐를 제조하는 능력을 감소시킨다.
마스크의 최소선폭 축소가 지속됨에 따라, 에칭 균일성의 중요도는 증가한다. 따라서, 높은 에칭 균일성을 갖는 크롬 에칭 프로세스가 매우 요구되고 있다.
따라서, 개선된 크롬 에칭 프로세스가 요구되고 있다.
본 발명의 목적은 높은 에칭 균일성을 갖는 크롬 에칭 프로세스를 제공하는 것이다.
본 발명은 크롬을 에칭하는 방법을 제공한다. 일 실시예에서, 크롬을 에칭하는 방법은 프로세싱 챔버에 크롬층 및 패터닝된 포토레지스트층을 갖는 막 스택을 제공하는 단계, 상기 패터닝된 포토레지스트층 상에 컨포멀한 보호층을 증착하 는 단계, 상기 패터닝된 포토레지스트층을 통해 크롬층을 노출시키기 위해 상기 컨포멀한 보호층을 에칭하는 단계, 및 상기 크롬층을 에칭하는 단계를 포함한다.
부가적으로 본 발명은 포토마스크를 형성하는 방법을 제공한다. 일 실시예에서, 포토마스크를 형성하는 방법은 적어도 크롬층을 포함하는 포토마스크층상에 마스크층을 패터닝하는 단계, 상기 포토마스크층상에 컨포멀한 보호층을 증착하는 단계, 하부층을 노출시키기 위해 상부에 보호층이 배치된 마스크를 통해 크롬층을 에칭하는 단계, 및 상기 마스크층과 보호층을 제거하는 단계를 포함한다.
본 발명의 상기 특징들은 하기의 상세한 설명들을 참조로 이해될 것이며, 첨부되는 도면에 도시되는 소정의 실시예를 참조할 수 있다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로 본 발명의 범주 및 정신을 제한하고자 하는 것이 아니며, 본 발명은 등가의 다른 유효 실시예를 허용할 수 있다는 것을 주목해야 한다.
발명의 이해를 돕기 위해, 도면에서 공통되는 동일한 부재들의 표시는 가능한한 동일한 참조부호를 사용하였다.
도 1은 본 발명의 석영 에칭 방법을 실행할 수 있는 에칭 프로세싱 챔버(100)의 일 실시예의 개략도이다. 본 명세서에서 개시된 기술을 이용하는데 적용될 수 있는 적절한 반응기로는 예를 들어, 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사에서 입수되는 비결합 플라즈마 소스(DPS
Figure 112006005667217-pat00001
)Ⅱ 반응기, 또는 테트라 Ⅰ 및 테트라Ⅱ 포토마스크 에칭 시스템이 있다. 에칭 프로세싱 챔버(100)는, 예를 들어, 어플라이드 머티어리얼스사로부터 입수가능한, Centura
Figure 112006005667217-pat00002
통합 반도체 웨 이퍼 프로세싱 시스템과 같이, 도 6에 도시된 것처럼 프로세싱 시스템(170)의 프로세싱 모듈로서 이용될 수 있다. 또한 프로세싱 시스템은 애싱에 적합한 제 1 챔버(172) 및 폴리머 증착에 적합한 제 2 챔버(174)를 포함할 수 있다. 적절한 애싱 및 증착 챔버의 예로는 어플라이드 머티어리얼스사로부터 입수가능한 AXIOM HTTM 및 테트라Ⅱ 프로세싱 챔버가 있다. 본 명세서에서 도시된 프로세싱 챔버(100)의 특정 실시예는 본 발명의 범주를 제한하는데 사용되는 것이 아니라 본 발명의 설명을 위한 것이다.
다시 도 1을 참조로, 일반적으로 프로세싱 챔버(100)는 기판 페데스탈(124)을 갖는 프로세스 챔버 바디(102) 및 제어기(146)를 포함한다. 챔버 바디(102)는 실질적으로 평탄한 유전체 실링(108)을 지지하는 도전성 벽(104)을 갖는다. 프로세싱 챔버(100)의 다른 실시예로는 돔형상의 실링과 같이 다른 형태의 실링을 포함할 수 있다. 안테나(110)는 실링(108) 위에 배치된다. 안테나(110)는 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(도 1에 두개의 동축 부재(110a, 110b)가 도시됨)를 포함한다. 안테나(110)는 제 1 매칭 네트워크(114)를 통해 플라즈마 전력원(112)에 결합된다. 플라즈마 전력원(112)은 통상적으로 약 50kHz 내지 약 13.56MHz 범위의 동조가능 주파수에서 약 3000 와트(W)까지 생성할 수 있다. 일 실시예에서, 플라즈마 전력원(112)은 약 13.56MHz의 주파수에서 RF 전력에 유도적으로 결합되어 약 100 내지 약 600W를 제공한다.
기판 페데스탈(캐소드)(124)은 제 2 매칭 네트워크(142)를 통해 바이어싱 전 력원(140)에 결합된다. 바이어싱 소스(140)는 약 1 내지 약 10kHz 범위의 동조가능한 펄스 주파수에서 약 제로 내지 약 600W 사이에서 제공된다. 바이어싱 소스(140)는 펄스형 RF 전력 출력을 발생시킨다. 선택적으로, 바이어싱 소스(140)는 펄스형 DC 전력 출력을 발생시킨다. 소스(140)는 또한 일정한 DC 및/또는 RF 전력 출력을 제공할 수 있다.
일 실시예에서, 바이어싱 소스(140)는 약 10 내지 약 95 퍼센트 사이의 듀티 사이클로, 약 1 내지 약 10kHz 사이의 주파수에서 약 600Watt 미만의 RF 전력을 제공하도록 구성된다. 또 다른 실시예에서, 바이어싱 소스(140)는 약 80 내지 약 95 퍼센트 듀티 사이클로, 약 2 내지 약 5kHz 사이의 주파수에서, 약 20 내지 약 150Watt 사이의 RF 전력을 제공하도록 구성된다.
DSP
Figure 112006005667217-pat00003
반응기로서 구성된 일 실시예에서, 기판 지지 페데스탈(124)은 정전기척(160)을 포함한다. 정전기척(160)은 적어도 하나의 클램핑 전극(132)을 포함하며 척 전력원(166)에 의해 제어된다. 선택적 실시예에서, 기판 페데스탈(124)은 서셉터 클램핑 링, 진공 척, 기계적 척 등과 같은 기판 보유 메커니즘을 포함할 수 있다.
가스 패널(120)은 프로세스 챔버 바디(102)의 내부에 프로세스 및/또는 다른 가스들을 제공하도록 프로세싱 챔버(100)에 결합된다. 도 1에 도시된 실시예에서, 가스 패널(120)은 챔버 바디(102) 측벽(104)에 있는 채널(118)에 형성된 하나 이상의 주입구(116)에 결합된다. 하나 이상의 주입구(116)는 예를 들어 프로세싱 챔버(100)의 실링(108)에 있는 다른 위치에 제공될 수 있다.
일 실시예에서, 가스 패널(120)은 입구(116)를 통해 프로세스 챔버 바디(102)의 내부로 불소화(fluorinated) 프로세스 가스를 제공하도록 구성된다. 프로세싱 동안, 플라즈마가 프로세스 가스로부터 형성되고 플라즈마 전력원(112)으로부터 유도성 전력 커플링을 통해 유지된다. 선택적으로 플라즈마는 다른 방법에 의해 점화되거나 원격적으로 형성될 수 있다. 일 실시예에서, 가스 패널(120)로부터 제공된 프로세스 가스는 적어도 불소화 가스 및 탄소 함유 가스를 포함한다. 불소화 및 탄소 함유 가스의 예로는 CHF3 및 CF4가 포함된다. 다른 불소화 가스로는 하나 이상의 C2F, C4F6, C3F8 및 C5F8가 포함된다.
프로세싱 챔버(100)의 압력은 트로틀 밸브(162) 및 진공 펌프(164)를 사용하여 제어된다. 진공 펌프(164) 및 트로틀 밸브(162)는 약 1 내지 약 20mTorr의 범위에서 챔버 압력을 유지할 수 있다.
벽(104)의 온도는 벽(104)을 지나 연장되는 액체-함유 도관(미도시)을 사용하여 제어될 수 있다. 벽 온도는 일반적으로 섭씨 약 65도로 유지된다. 일반적으로, 챔버 벽(104)은 금속(예를 들어, 알루미늄, 스테인레스 스틸 등)으로 형성되며 전기적 접지(106)에 결합된다. 또한 프로세싱 챔버(100)는 프로세스 제어, 내부 진단, 엔드포인트 검출 등을 위한 종래의 시스템을 포함한다. 이러한 시스템은 전체적으로 지지 시스템(154)으로 도시된다.
레티클 어댑터(182)는 기판 지지 페데스탈(124)상에 기판(레티클 또는 다른 제품과 같은)을 고정하는데 사용된다. 일반적으로 레티클 어댑터(182)는 페데스탈(124)의 상부 표면(예를 들어, 정전기 척(160))을 커버하도록 밀링된 하부 부분(184) 및 기판(122)을 보유하는 크기 및 형상인 개구부(188)를 갖는 상부 부분(186)을 포함한다. 일반적으로 개구부(188)는 페데스탈(124)에 대해 대체로 중심이 설정된다. 일반적으로 어댑터(182)는 단일의 에칭 저항성 부품, 폴리이미드 세라믹 또는 석영과 같은 고온 저항성 재료로 형성된다. 적절한 레티클 어댑터는 2001년 6월 26일자로 발행된 미국 특허 No.6,251,217호에 개시되어 있으며 상기 문헌은 본 명세서에서 참조된다. 에지 링(126)은 페데스탈(124)에 어댑터(182)를 커버 및/또는 고정할 수 있다.
리프트 메커니즘(138)은 기판 지지 페데스탈(124) 상에 또는 그로부터 어댑터(182) 및 기판(122)을 하강 및 상승시키는데 사용된다. 일반적으로, 리프트 메커니즘(138)은 각각의 가이드 홀(136)을 통해 이동하는 다수의 리프트 핀들(하나의 리프트 핀(130)이 도시됨)을 포함한다.
동작시, 기판(122) 온도는 기판 페데스탈(124)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(124)은 히터(144) 및 선택적 히트 싱크(128)를 포함한다. 히터(144)는 열 전송 유체가 흐르도록 구성된 하나 이상의 유체 도관일 수 있다. 또 다른 실시예에서, 히터(144)는 히터 전력원(168)에 의해 조절되는 적어도 하나의 가열 부재(134)를 포함할 수 있다. 선택적으로, 가스 소스(156)로부터의 후방 가스(예를 들어, 헬륨(He))가 가스 도관(158)을 통해 기판(122) 아래 페데스탈 표면에 형성된 채널에 제공된다. 후방 가스는 페데스탈(124)과 기판(122) 사이의 열 전달을 용이하게 하는데 사용된다. 프로세싱 동안, 페데스탈(124)은 헬륨 후방 가스와 조합되어 정상상태 온도로 내장된 히터(144)에 의해 가열되어 기판(122)의 균일한 가열을 용이하게 할 수 있다.
제어기(146)는 중앙 처리 유닛(CPU)(150), 메모리(148), 및 CPU(150)에 대한 지지 회로(152)를 포함하며 하기에 보다 상세히 설명되는 것처럼, 에칭 프로세스 및 프로세싱 챔버(100)의 부품 제어를 용이하게 한다. 제어기(146)는 다양한 챔버 및 서브-프로세서를 제어하기 위한 산업환경(industrial setting)에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. CPU(150)의 메모리(148)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 다른 임의의 형태의 디지털 저장기, 로컬 또는 리모트와 같이 쉽게 이용가능한 하나 이상의 메모리일 수 있다. 지지 회로(152)는 종래의 방식으로 프로세서를 지지하기 위해 CPU(150)에 결합된다. 이들 회로는 캐시, 전력원, 클록 회로, 입/출력 회로 및 서브시스템 등을 포함한다. 본 발명의 방법은 일반적으로 메모리(148) 또는 소프트웨어 루틴으로서 CPU(150)에 액세스가능한 다른 컴퓨터 판독가능 매체에 저장된다. 선택적으로, 이러한 소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행된다.
도 2는 크롬을 에칭하기 위한 방법(200)의 일 실시예 흐름도이다. 방법(200)은 포토마스크를 제조하는데 사용되는 기판을 참조로 하기에 설명되지만, 상기 방법(200)은 다른 크롬 에칭 분야에도 바람직하게 사용될 수 있다.
제어기(146)의 메모리(148) 또는 다른 저장 매체에 컴퓨터 판독가능한 형태로 저장될 수 있는 방법(200)은 단계(202)에서 시작되며, 내부에 막 스택이 배치된 기판(122)이 지지 페데스탈(124)상에 위치된다. 일 실시예에서, 기판(122)은 어댑터(182)의 개구부(188)에 위치된다. 도 1에 도시된 기판(122)상에 배치된 막 스택은 포토마스크 재료로 공지된 불투명한 광차단 크롬층(190)을 가지며, 석영층(192)의 표면상에 패터닝된 마스크를 형성하는 석영(즉, 실리콘 이산화물(SiO2))층(192)과 같이 광학적으로 투과성 있는 실리콘 기재 재료를 포함한다. 크롬층(190)은 크롬 및/또는 크롬 옥시질화물일 수 있다. 또한, 막 스택은 석영층(192)과 크롬층(190) 사이에 삽입된, 몰리브덴(Mo) 또는 몰리브덴 실리콘(MoSi)으로 도핑된 실리콘 질화물(SiN)과 같은 감쇄층(attenuating layer)(미도시)을 포함할 수 있다.
단계(204)에서, 레지스트층이 크롬층 위에서 패터닝된다. 레지스트층은 임의의 적절한 방식에 의해 패터닝될 수 있다. 막 스택은 상부에 이미 패터닝된 레지스트를 갖는 프로세싱 챔버에 배치될 수 있다.
단계(206)에서, 패터닝된 레지스트층 위에 컨포멀한 보호층이 증착된다. 보호층은 수소를 갖는 탄소 폴리머와 같은 폴리머일 수 있다. 보호층은 약 100 내지 약 500 옴스트롱 사이의 두께로 증착될 수 있으며, 다른 실시예에서는 약 150 내지 약 200 옴스트롱 사이이다.
일 실시예에서, 보호층은 예를 들어, CHF3 및/또는 C4F8과 같이, 하나 이상의 탄화불소 프로세싱 가스로 형성된 플라즈마를 이용하여 증착된다. 선택적으로, 플라즈마는 Ar을 포함하며, 이는 증착 균일성을 개선시킨다. 일 실시예에서, 보호층은 약 200 내지 약 500W 사이의 플라즈마 전력, 약 0 내지 약 20W 사이의 바이어스 전력을 사용하여 증착될 수 있다. 또 다른 실시예에서, 바이어스 전력은 약 10W 미만이다. 플라즈마 프로세스에서 보호층을 형성하기 위해 이용되는 예시적인 프로세스 가스는 약 100sccm CHF3 및 약 100sccm Ar을 이용하며 약 500 옴스트롱 두께에 이르는 보호층을 형성하기 위해 약 3 내지 약 20milliTorr의 챔버 압력에서 유지된다.
단계(208)에서, 크롬층은 보호층 및 에칭 마스크로서 레지스트를 이용하여 에칭된다. 크롬 에칭 단계(208)는 크롬층의 노출된 부분에 패터닝된 레지스트의 개구부에 배치된 보호층의 수평 부분을 먼저 제거하는 단계를 포함한다. 패터닝된 레지스트의 측벽 상에 배치된 보호층의 수직 부분이 보호층의 수평 부분에 비해 매우 서서히 제거됨에 따라, 패터닝된 레지스트의 측벽 상에 배치된 보호층은 개구부의 최소선폭(CD)을 실질적으로 유지하는 동안 크롬층이 에칭되어, 에칭 단계(208) 동안 크롬층에 형성된 개구부에 마스크 CD의 정확한 전사를 허용한다.
일 실시예에서, 하나 이상의 불소화 프로세스 가스로부터 플라즈마를 형성하는 에칭 단계(208)는 가스 입구(116)를 통해 프로세싱 챔버(100)에 도입된다. 예시적인 프로세스 가스로는 CF4 및 CHF3가 포함될 수 있다. 프로세싱 가스는 He, Ar, Xe, Ne, 및 Kr과 같은 불활성 가스를 더 포함할 수 있다.
또 다른 실시예에서, 크롬을 포함하는 기판(122)은 2 내지 50 sccm 유속의 CF4 및 10 내지 50sccm 유속의 CFH3를 공급함으로써, 테트라 Ⅰ 및 테트라Ⅱ 또는 DPS
Figure 112006005667217-pat00004
Ⅱ 에칭 모듈을 사용하여 에칭된다. 특정 프로세스 레시피는 9sccm 유속의 CF4, 26sccm 유속의 CHF3를 제공한다. 프로세스 챔버 압력은 약 40mTorr 미만으로 제어되며 일 실시예에서는 약 1 내지 약 10mTorr 사이, 예를 들어, 2mTorr이다.
크롬 에칭 단계(208) 동안, 기판 바이어스 전력은 약 600W 미만이며, 제 1 예에서는 약 100W 미만이고, 제 2 예에서는 30W 내지 약 80W가 기판(122)을 바이어스시키기 위해 지지 페테스탈(124)에 공급된다. 특정 프로세스 레시피는 약 1 내지 약 10kHz 범위에서 동조가능한 펄스 주파수에서 약 65W의 바이어스 전력을 인가한다. 선택적으로, 바이어스 전력은 상기 설명된 것처럼 펄스화될 수 있다.
단계(208) 동안, 프로세스 가스로부터 형성된 플라즈마는 플라즈마 전력원(112)으로부터 안테나(110)에 약 300 내지 약 600W 사이의 RF 전력을 인가함으로써 유지된다. 플라즈마는 임의의 수의 방법에 의해 점화될 수 있다. 일 실시예에서, 약 420W의 RF 전력이 약 13.56MHz의 주파수에서 안테나(110)에 인가된다.
기판(122)상에 노출된 크롬층(190)은 엔드포인트에 도달할 때까지 에칭된다. 엔드포인트는 시간, 광학적 간섭법, 챔버 가스 방출 분광사진 또는 다른 적절한 방식에 의해 판단된다. 에칭 단계는 증착 단계(206)가 수행되는 프로세싱 시스템(170) 또는 프로세싱 챔버(100)에서 인슈트로 수행될 수 있다.
또 다른 에칭 프로세스는 2002년 9월 4일자로 출원된 미국 특허 출원 번호 No. 10/235,223호에 개시되며, 이는 본 명세서에서 참조된다. 다른 적절한 금속 에칭 프로세스가 이용될 수 있다.
단계(210)에서, 에칭 단계(208) 이후 남아있는 레지스트 및 보호층이 제거된다. 일 실시예에서, 남아있는 레지스트 및 보호층은 애싱에 의해 제거된다. 제거 단계(210)는 에칭 단계(208)가 수행되는 프로세싱 시스템(170) 또는 프로세싱 챔버(100)에서 인슈트로 수행될 수 있다.
종래의 에칭 방법에 대한 크롬 에칭 방법(200)의 장점으로는 에칭 바이어스가 감소되어, 작은 최소선폭을 형성하는 에칭 분야에 매우 바람직하다는 것이다. 또한, 크롬 에칭 방법(200)이 레지스트로부터 크롬층에 형성된 개구부에 정확하게 최소선폭을 전사시켜, 패터닝된 크롬층을 이용하여 순차적으로 에칭된 층들이 최소선폭의 양호한 전사를 나타내어, 방법(200)이 45nm 노드 분야와 같이, 작은 라인폭을 가지는 마스크를 제조하는데 매우 바람직하다.
도 3a-3g는 상기 개시된 방법(200)을 이용하는 석영 포토마스크(340)로 제조되는 막 스택(300i)의 일 실시예를 나타낸다. 첨자 "i"는 도 3a-g에 도시된 막 스택의 상이한 제조 단계를 나타내는 정수이다.
도 3a에 도시된 막 스택(3001)은 상부에 크롬층(304)을 갖는 석영층(302)을 포함한다. 크롬층(304)은 상기 개시된 것처럼 통상적인 크롬 및/또는 크롬 산화물이다. 막 스택(3001)은 크롬층(304) 상에 형성된 선택적 비반사층(306)(가상으로 도시)을 포함할 수 있다. 비반사층(306)은 얇은 크롬 산화물층 또는 다른 적절한 재료일 수 있다. 막 스택(3001)은 크롬층(304) 또는 제공되는 경우 비반사층(306) 상에 배치된 제 1 레지스트층(308)을 포함한다.
제 1 레지스트층(308)이 패터닝되고 크롬층(304)을 에칭하기 위한 에칭 마스크로서 이용되어 도 3b에 도시된 막 스택(3002)에 도시된 하부 석영층(302)을 노출시키는 피쳐(320)를 형성한다.
컨포멀한 보호층(310)이 레지스트(308) 위에 증착된다. 보호층(310)은 도 3c에 도시된 막 스택(3003)에 도시된 것처럼 폭(316)을 갖는 트렌치(314)를 형성하기 위해 예정된 두께를 갖는 레지스트(308)에 형성된 피쳐(320)의 측벽을 커버한다. 폭(316)은 크롬층(304)으로 전사되는 예정된 최소선폭을 갖도록 선택된다.
크롬층(304)은 염소-함유 가스(Cl2) 또는 불소-함유 가스(SF6 또는 CF4)로부터 형성된 플라즈마를 이용하여 에칭될 수 있다. 실질적으로 에칭 프로세스는 이방성이어서, 트렌치(34)의 하부에서 보호층을 관통하여(breaking through) 폭(316)을 크게 변화시키지 않고 크롬층을 노출시키고 순차적으로 에칭할 수 있다. 이처럼, 최소선폭(316)이 도 3d에 도시된 막 스택(3004)에 도시된 것처럼 크롬층(304)에 형성된 개구부(318)로 전사된다.
개구부(318)가 크롬층(304)에 형성된 이후, 나머지 제 1 레지스트층(308)이 예를 들어, 애싱에 의해 제거되어, 도 3e에 도시된 바와 같이 막 스택(3005)이 남게된다. 레지스트층(308)에 대한 제거 프로세스는 남아있는 보호층(310)을 추가적으로 제거하며, 바이너리(binary) 포토마스크(340)를 남긴다.
선택적으로, 막 스택(3005)은 도 3f-3i에 도시된 바와 같이 위상 이동 마스크를 형성하도록 추가로 처리될 수 있다. 위상 이동 마스크를 형성하기 위해, 제 2 레지스트층(324)이 먼저 막 스택(3005)상에 배치되며, 도 3f에 도시된 막 스택(3006)에 도시된 것처럼 개구부(318)를 채운다. 다음 제 2 레지스트층(324)이 패터닝된다. 통상적으로 석영 위상 이동 마스크가 형성되는 경우, 패터닝된 제 2 레지스트층(324)이 도 3g에 도시된 막 스택(3007)에 도시된 것처럼, 교대로 개구부(318)의 하부에서 석영층(302)을 노출시킨다.
패터닝된 제 2 레지스트층(312)을 통해 노출되는 석영층(302)은 하나 이상의 불소화 프로세스 가스로부터 형성된 플라즈마를 이용하여 에칭된다. 프로세스 가스의 예로는 CF4 및 CHF3가 있다. 또한 프로세싱 가스는 He, Ar, Xe, Ne 및 Kr과 같은 불활성 가스를 더 포함할 수 있다. 석영층(302)을 에칭하는 동안, 기판 지지체에 인가된 바이어스 전력이 상기 개시된 것처럼 펄스화될 수 있다.
석영 에칭의 엔드포인트는 도 3h에 도시된 막 스택(3008)에 도시된 에칭된 석영 트렌치(326)의 깊이(328)가 석영 위상 이동 마스크를 이용하도록 광의 예정된 파장에 대해 석영층(302)을 통해 180도 위상 이동의 길이와 대략 동일하도록 선택된다. 전형적인 파장은 193 내지 248nm이다. 따라서 깊이(328)는 통상적으로 약 172 또는 240nm이나, 상이한 리소그래피 광 파장을 이용하는 마스크에 대해 다른 깊이가 이용될 수 있다. 석영 트렌치(326)가 에칭된 이후, 남아 있는 제 2 레지스트 층(324)이 예를 들어, 애싱에 의해 제거되어, 남아있는 막 스택(3009)은 도 3i에 도시된 것처럼 석영 위상 이동 마스크(330)를 형성한다.
도 4a-4g는 상기 개시된 방법(200)을 이용하여 석영 위상 이동 마스크(418)로 제조되는 막 스택(400i)의 일 실시예를 나타낸다. 첨자 "i"는 도 4a-4g에 도시된 막 스택의 상이한 제조 단계를 나타내는 정수이다.
도 4a에 도시된 막 스택(4001)은 상부에 크롬층(404)을 갖는 석영층(402)을 포함한다. 크롬층(404)은 상기 개시된 것처럼 통상적인 크롬 및/또는 크롬 산화물이다. 막 스택(4001)은 크롬층(404)상에 배치된 선택적 비반사층(406)(가상으로 도시됨)을 포함할 수 있다. 또한, 막 스택(4001)은 크롬층(404) 또는 존재하는 경우 비반사층(406) 상에 배치되는 제 1 레지스트층(408)을 포함한다. 제 1 레지스트층(408)은 도 3b에 도시된 막 스택(4002)에 도시된 것처럼 크롬층(404)을 노출시키는 개구부(430)를 형성하도록 패터닝된다.
컨포멀한 보호층(432)이 크롬층(404) 및 제 1 레지스트층(408)에 증착되며, 도 4c에 도시된 막 스택(4003)에 도시된 것처럼 개구부(430)의 하부 및 측벽을 커버한다. 보호층(432)은 상기 보호층(310)을 참조로 개시된 것처럼 증착될 수 있다. 보호층(432)의 두께는 보호층(432)의 수직 부분들 사이에 형성된 피쳐(434)가 예정된 폭(436)을 갖도록 선택된다.
보호층(432)과 제 1 레지스트층(408)이 크롬층(404)에 개구부(410)를 에칭하기 위한 마스크로서 사용되어, 도 4d에 도시된 막 스택(4004)에 도시된 것처럼 하부 석영층(402)을 노출시킨다. 실질적으로 에칭 프로세스는 이방성이어서, 피쳐(434) 의 하부에서 보호층(432)을 관통하여 폭(436)을 크게 변화시키지 않고 크롬층(404)을 노출 및 순차적으로 에칭할 수 있다. 이처럼, 피쳐(410)에 의해 한정된 최소선폭은 크롬층(304)에 형성된 개구부(438)로 전사된다. 크롬층(404)은 상기 개시된 것처럼 에칭될 수 있다. 보호층(432)과 제 1 레지스트층(408)이 도 4e에 도시된 막 스택(4005)에 도시된 것처럼, 예를들어 애싱 또는 다른 적절한 프로세스에 의해 제거될 수 있다.
다음 크롬층(404)이 도 4f에 도시된 막 스택(4006)에 도시된 것처럼 석영층(402)을 에칭하기 위한 에칭 마스크로서 이용된다. 석영층(402)은 하부(416)를 갖는 트렌치(44)를 형성하기 위해 상기 개시된 것처럼 에칭될 수 있다. 개구부(438)를 지나는 석영층(404)의 에칭은 실질적으로 트렌치(440)로 폭(436)을 전사시킨다.
석영 에칭의 엔드포인트는 에칭된 석영 트렌치(440) 하부(416)의 폭(414)이 상기 개시된 것처럼 석영 위상 이동 마스크를 이용하도록 광의 예정된 파장에 대해 석영층(402)을 지나 180도 위상 이동의 길이와 대략 동일하도록 선택된다.
트렌치(440)가 석영층(402)에 형성된 이후, 남아있는 크롬층(404)은 예를 들어, 상기 개시된 크롬 에칭과 같은 적절한 프로세스에 의해 제거되어 도 4g에 도시된 석영 위상 이동 마스크(442)처럼 막 스택(4007)을 남겨둔다.
도 5a-5f는 상기 개시된 방법(200)을 이용하는 크롬리스 에칭 리소그래피 마스크(540)로 제조되는 막 스택(500i)의 일 실시예를 나타낸다. 첨자 "i"는 도 5a-5f에 도시된 막 스택의 상이한 제조 단계를 나타내는 정수이다.
도 5a에 도시된 막 스택(5001)은 상부에 포토마스크층(504)을 갖는 석영층(502)을 포함한다. 포토마스크층(504)은 감쇄층(554) 위에, 예를 들어, 상기 개시된 것과 같은 크롬 및/또는 크롬 산화물과 같은 크롬층(552)을 포함한다. 감쇄층(554)은 일반적으로 석영 위상 이동 마스크를 이용하도록 예정된 광 파장에 대해 석영층(502)을 통해 180도 위상 이동의 길이와 대략 동일한 두께를 갖는다. 전형적인 파장은 193 및 248nm이다. 따라서, 감쇄층의 두께는 통상적으로 약 50 내지 약 100nm 이지만, 상이한 리소그래피 광 파장 및/또는 상이한 감쇄 재료를 이용하도록 마스크에 대해 다른 깊이가 사용될 수 있다.
선택적인 비반사층(506)(가상으로 도시)이 포토마스크층(504)상에 형성될 수 있다. 제 1 레지스트층(508)이 포토마스크층(504) 또는 제공되는 경우, 비반사층(506)상에 위치된다.
제 1 레지스트층(508)이 패터닝되고 포토마스크층(504)을 에칭하기 위하여 에칭 마스크로서 이용되어 도 5b에 도시된 막 스택(5002)에 도시된 바와 같이 하부 석영층(502)을 노출시키는 피쳐(520)를 형성한다.
컨포멀한 보호층(510)이 레지스트(508) 상에 증착된다. 보호층(501)은 도 5c에 도시된 막 스택(5003)에 도시된 것처럼 폭(516)을 갖는 트렌치(514)를 형성하기 위해 예정된 두께를 갖는 레지스트(508)에 형성된 피쳐(520)의 측벽을 커버한다. 폭(516)은 포토마스크층(504)(예를 들어, 감쇄층(554) 및 크롬층(552))으로 전사되는 예정된 최소선폭을 갖도록 선택된다.
포토마스크층(504)은 감쇄층(554)의 에칭으로 이어지는 크롬층(552)을 먼저 에칭하기 위해 2단계 프로세스로 에칭될 수 있다. 크롬층(552)은 염소-함유 가스(Cl2) 또는 불소-함유 가스(SF6 또는 CF4)로부터 형성된 플라즈마를 사용하여 에칭될 수 있다. 실질적으로 에칭 프로세스는 이방성으로, 트렌치(514) 하부에서 보호층의 하부(512)를 통해 폭(516)을 크게 변화시키지 않고 크롬층을 노출 및 순차적으로 에칭할 수 있다.
감쇄층(554)은 염소-함유 가스(Cl2) 및/또는 불소-함유 가스(SF6 또는 CF4)로부터 형성된 플라즈마를 이용하여 에칭될 수 있다. 2단계 에칭 프로세스는 실질적으로 이방성으로, 트렌치(514)의 하부에서 보호층을 통해 폭(516)을 크게 변화시키지 않고 크롬층을 노출 및 순차적으로 에칭할 수 있다. 패터닝된 크롬층은 감쇄층(554)을 에칭하기 위한 마스크로서의 기능을 한다. 이처럼, 현재 형성되는 폭(516)의 최소선폭이 도 5d에 도시된 막 스택(5004)에 도시된 것처럼 포토마스크층(504)에 형성된 개구부(518)로 전사된다.
감쇄층(554)은 (i) 하나 이상의 불소 함유 중합 재료, (ii) 염소 함유 가스, 및 선택적으로 (iii) 불활성 가스를 포함하는 프로세싱 가스에 의해 플라즈마 에칭될 수 있다. 중합화 제한 또는 방지 가스가 프로세싱 가스에 포함될 수도 있다.
하나 이상의 불소 함유 가스는 하나 이상의 불소 함유 탄화수소, 수소 유리(free) 불소 함유 가스, 또는 이들의 조합을 포함할 수 있다. 하나 이상의 불소 함유 탄화수소는 일반식 CxHyFz을 가질 수 있으며, x는 탄소 원자의 1 내지 5의 정 수이고, y는 수소 원자의 1 내지 8의 정수이고, z는 불소 원자의 1 내지 8의 정수이다. 불소 함유 탄화수소 가스의 예로는 CHF3, CH3F, CH2F2, C2HF5, C2H4F2 및 이들의 조합을 포함한다. 1 내지 2 의 탄소 원자, 1 내지 4의 수소 원자, 및 1 내지 5의 불소 원자를 갖는 CHF3와 같은 탄소 함유 탄화수소 가스가 감쇄층(554)을 에칭하는데 사용될 수 있다.
수소 유리 탄화수소 가스는 1 내지 5의 탄소 원자 및 4 내지 8의 불소 원자를 포함할 수 있다. 수소 유리 탄화수소 가스의 예로는 CF4, C2F6, C4F6, C3F8, C4F8 , C5F8 및 이들의 조합을 포함한다. 선택적으로, 프로세싱 가스는 황 헥사불소(SF6)와 같은 황 불화물의 부가적인 에칭 가스를 포함할 수 있다.
불소 함유 가스는 패터닝된 레지스트 재료 및 에칭된 광학적으로 투과성있는 재료에 형성된 개구부의 표면, 특히 측벽상에 패시베이팅 폴리머 증착물을 형성하는데 바람직하게 사용된다. 패시베이팅 폴리머 증착물은 피쳐 한정부의 과도한 에칭을 방지하여, 감쇄층(554)에 평탄화된 최소선폭 치수의 전사를 개선시킨다. 하나 이상의 불소 함유 탄화수소 가스로부터 형성된 플라즈마는 산화 가스의 존재 없이 기판(122) 상에 감쇄층(554)을 에칭하는 불소-함유 종을 산출한다.
염소-함유 가스는 염소(Cl2), 사염화탄소(CCl4), 염화수소(HCl), 및 이들의 조합의 그룹에서 선택되며 광학적으로 투과성있는 재료를 에칭하기 위해 반응성이 높은 라디칼을 공급하는데 사용된다. 염소-함유 가스는 에칭 라디칼의 소스를 제 공하며 수소 또는 탄소-함유 염소-함유 가스는 에칭 바이어스를 개선시킬 수 있는 패시베이팅 폴리머 증착물을 형성하는 재료의 소스를 제공할 수 있다.
또한 프로세싱 가스는 불활성 가스를 포함할 수 있으며, 이들이 프로세싱 가스를 포함하는 플라즈마의 일부로서 이온화되는 경우, 피쳐 한정부의 에칭 속도를 증가시키는 스퍼터링 종이 발생된다. 플라즈마의 일부로서 불활성 가스의 존재는 프로세싱 가스의 분해를 강화시킬 수도 있다. 또한, 프로세스 가스에 첨가된 불활성 가스는 이온화된 스퍼터링 종을 형성하여 새롭게 에칭된 피쳐 한정부의 측벽상에 형성된 임의의 폴리머 증착물을 추가로 스퍼터-오프(sputter-off)시킬 수 있어, 임의의 패시베이팅 증착물을 감소시키고 제어가능한 에칭 속도를 제공한다. 프로세싱 가스에 불활성 가스의 포함은 개선된 플라즈마 안정성 및 개선된 에칭 균일성을 제공한다. 불활성 가스의 예로는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), 및 이들의 조합이 포함되며, 일반적으로는 아르곤과 헬륨이 사용된다.
일 실시예에서, 감쇄층(554)을 에칭하기 위한 프로세싱 가스는 염소(Cl2) 가스, 트리플루오로메탄(CHF3), 및 불활성 가스로서 아르곤을 포함할 수 있다. 선택적으로, 프로세싱 가스는 산소, 오존, 질소, 또는 이들의 조합과 같은 하나 이상의 중합화 제한 가스를 포함할 수 있으며, 기판 상에 패시베이팅 폴리머 증착물의 형성 및 제거를 제어함으로써 프로세싱 가스의 에칭 속도를 제어하는데 사용될 수 있다. 산소 함유 가스는 패시베이팅 증착물로서 에칭된 피쳐 한정부의 표면상에 증착되는 폴리머의 형성을 감소시키기 위해 다른 종과 반응하는 유리 산소 종의 형성을 강화시킨다. 예를 들어, 산소 가스는 CF2와 같은 플라즈마 프로세스 라디칼의 일부와 반응하여, 프로세싱 챔버로부터 배기되는 COF2와 같은 휘발성 라디칼을 형성한다.
불활성 가스 및 선택적 가스를 포함하는 프로세싱 가스의 전체 유속은 에칭 챔버에서 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하기 위해 약 15sccm 내지 약 200sccm 사이와 같이 약 15sccm 보다 큰 유속으로 주입된다. 염소 함유 가스는 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하기 위해 약 5sccm 내지 약 100sccm 사이의 유속으로 프로세싱 챔버로 주입된다. 불소 함유 가스가 프로세싱 챔버로 주입되는 경우, 약 1 sccm 내지 약 50 sccm 사이의 유속이 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하는데 사용된다. 불활성 가스가 프로세싱 챔버로 주입되는 경우, 약 0sccm 내지 약 100sccm 사이의 유속이 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하는데 사용될 수 있다. 선택적으로, 중합화 제어 가스가 프로세싱 챔버에 주입되는 경우, 약 1sccm 내지 약 100sccm 사이의 유속이 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하는데 사용된다. 프로세싱 가스의 개별 및 전체 가스 흐름은 프로세싱 챔버의 크기, 처리되는 기판의 크기 및 작동자에 의해 요구되는 특정 에칭 프로파일과 같이 다수의 프로세싱 요인을 기초로 가변될 수 있다.
일반적으로, 프로세싱 챔버 압력은 약 2milliTorr 내지 약 50milliTorr 사이로 유지된다. 약 3milliTorr 내지 약 20milliTorr 사이, 예를 들어, 3milliTorr 내지 10milliTorr의 챔버 압력이 에칭 프로세스 동안 유지될 수 있다.
개구부(518)가 포토마스크층(504)에 형성된 이후, 나머지 제 1 레지스트층(508)이 예를 들어 애싱에 의해 제거되어, 도 5e에 도시된 것과 같은 막 스택(5005)을 남긴다. 부가적으로 레지스트층(508)에 대한 제거 프로세스는 나머지 보호층(510)을 부가적으로 제거한다.
포토마스크층(504)의 크롬 부분(예를 들어, 패터닝된 크롬층(552))은 상기 개시된 것처럼 드라이 에칭 프로세스와 같은 적절한 프로세스에 의해 제거된다. 석영층(502) 및 막 스택(5006)으로부터 남아있는 패터닝된 MoSi층(554)은 도 5f에 도시된 크롬리스 에칭 리소그래피 마스크(540)로 형성된다.
따라서, 크롬층을 에칭하는 방법은 종래의 프로세스에 비해 트렌치 특성을 개선시키는 장점을 제공한다. 따라서, 본 명세서에 개시된 크롬층 에칭 방법은 작은 최소선폭을 갖는 피쳐를 패터닝하는데 적합한 포토마스크의 제조를 용이하게 한다.
지금까지 본 발명의 실시예에 대해 설명되었지만, 본 발명의 다른 추가의 실시예들이 하기에 첨부되는 청구항에 의해 결정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 고안될 수 있다.

Claims (30)

  1. 크롬층을 에칭하는 방법으로서,
    프로세싱 챔버내에 크롬층 및 패터닝된 포토레지스트층을 갖는 막 스택을 제공하는 단계;
    상기 패터닝된 포토레지스트층 상에 컨포멀한 보호층을 증착하는 단계;
    상기 패터닝된 포토레지스트층을 통해 상기 크롬층을 노출시키기 위해 상기 컨포멀한 보호층을 에칭하는 단계; 및
    상기 크롬층을 에칭하는 단계
    를 포함하는 크롬층 에칭 방법.
  2. 제 1 항에 있어서,
    상기 증착하는 단계는 100 내지 500 옴스트롱 사이의 두께로 폴리머를 증착하는 단계를 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  3. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.
    제 2 항에 있어서,
    상기 폴리머는 수소를 갖는 탄소 폴리머인 것을 특징으로 하는 크롬층 에칭 방법.
  4. 제 1 항에 있어서,
    상기 증착하는 단계는 하나 이상의 탄화불소 프로세싱 가스로부터 플라즈마를 형성하는 단계를 더 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  5. 제 4 항에 있어서,
    상기 하나 이상의 탄화불소 프로세싱 가스는 CHF3 또는 C4F8 중 하나 또는 둘다인 것을 특징으로 하는 크롬층 에칭 방법.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제 4 항에 있어서,
    상기 증착하는 단계는 상기 플라즈마에 Ar를 주입하는 단계를 더 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  7. 제 1 항에 있어서,
    상기 증착하는 단계는 0 내지 20W 사이의 바이어스 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 증착하는 단계는 10W 미만의 바이어스 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  9. 제 7 항에 있어서, 상기 증착하는 단계는,
    상기 프로세싱 챔버에 100sccm의 CHF3를 흘려보내는 단계;
    상기 프로세싱 챔버에 100sccm의 Ar을 흘려보내는 단계;
    상기 CHF3 및 Ar 가스로부터 플라즈마를 형성하는 단계; 및
    3 내지 20milliTorr의 챔버 압력을 유지하는 단계
    를 더 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  10. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.
    제 7 항에 있어서,
    상기 증착하는 단계는 150 내지 200 옴스트롱 사이의 두께로 탄소 폴리머를 증착하는 단계를 더 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 에칭하는 단계는 펄스형 바이어스 전력을 인가하는 단계를 더 포함하는 것을 특징으로 하는 크롬층 에칭 방법.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제 2 항에 있어서,
    상기 증착하는 단계 및 에칭하는 단계는 상기 프로세싱 챔버내에서 인슈트로 수행되는 것을 특징으로 하는 크롬층 에칭 방법.
  13. 포토마스크를 형성하는 방법으로서,
    크롬층을 포함하는 포토마스크층 상에 마스크층을 패터닝하는 단계;
    상기 마스크층상에 컨포멀한 보호층을 증착하는 단계;
    하부층이 노출되도록 상부에 상기 보호층이 배치된 상기 마스크층을 통해 상기 크롬층을 에칭하는 단계; 및
    상기 마스크층 및 보호층을 제거하는 단계
    를 포함하는 포토마스크 형성 방법.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제 13 항에 있어서,
    상기 증착하는 단계는 100 내지 500 옴스트롱 사이의 두께로 폴리머를 증착하는 단계를 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제 14 항에 있어서,
    상기 폴리머는 수소를 갖는 탄소 폴리머인 것을 특징으로 하는 포토마스크 형성 방법.
  16. 제 13 항에 있어서,
    상기 증착하는 단계는 CHF3 또는 C4F8 중 하나 또는 둘다로부터 플라즈마를 형성하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제 16 항에 있어서,
    상기 증착하는 단계는 상기 플라즈마 속에 Ar를 주입하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  18. 청구항 18은(는) 설정등록료 납부시 포기되었습니다.
    제 13 항에 있어서,
    상기 증착하는 단계는 0 내지 20W 사이의 바이어스 전력을 인가하는 단계를 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  19. 제 13 항에 있어서, 상기 크롬층을 에칭하는 단계는,
    프로세싱 챔버에 하나 이상의 탄화불소 프로세스 가스를 제공하는 단계; 및
    600W 미만의 다수의 전력 펄스로 상기 프로세싱 챔버의 기판 지지체 상에 배치된 마스크층을 바이어싱하는 단계
    를 더 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  20. 제 13 항에 있어서,
    상기 마스크층 및 상기 보호층을 제거하는 단계는 상기 크롬층 에칭 단계가 수행되는 프로세싱 챔버에서 인슈트로 수행되는 것을 특징으로 하는 포토마스크 형성 방법.
  21. 청구항 21은(는) 설정등록료 납부시 포기되었습니다.
    제 13 항에 있어서,
    상기 마스크층 및 상기 보호층을 제거하는 단계는 상기 프로세싱 챔버가 결합된 프로세싱 시스템에서 인슈트로 수행되는 것을 특징으로 하는 포토마스크 형성 방법.
  22. 제 13 항에 있어서,
    상기 패터닝된 크롬층을 이용하여 감쇄층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
    제 22 항에 있어서,
    상기 감쇄층은 몰리브덴을 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제 22 항에 있어서,
    상기 패터닝된 크롬층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  25. 제 13 항에 있어서,
    상기 패터닝된 크롬층상에 패터닝된 레지스트층을 형성하는 단계 - 상기 크롬층의 제 1 개구부가 레지스트로 채워지고 상기 크롬층의 제 2 개구부가 상기 패터닝된 레지스트를 통해 개방됨 - ;
    상기 제 2 개구부를 통해 석영층을 에칭하는 단계; 및
    상기 패터닝된 레지스트층을 제거하는 단계
    를 더 포함하는 것을 특징으로 하는 포토마스크 형성 방법.
  26. 크롬-함유층을 갖는 막 스택 상에 레지스트층을 패터닝하는 단계;
    상기 패터닝된 레지스트층 상에 20W 미만의 바이어스 전력을 이용하여 컨포멀한 보호층을 증착하는 단계;
    상기 패터닝된 레지스트층 및 에칭 마스크로서 보호층을 이용하여 크롬-함유층을 에칭하는 단계; 및
    상기 에칭 마스크를 제거하는 단계
    를 포함하는 방법에 의해 형성된 포토마스크.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제 26 항에 있어서,
    상기 증착하는 단계는 100 내지 500 옴스트롱 사이의 두께로 폴리머를 증착하는 단계를 포함하는 것을 특징으로 하는 포토마스크.
  28. 제 26 항에 있어서,
    상기 막 스택은 에칭 마스크로서 상기 패터닝된 크롬-함유층을 이용하여 패터닝된 몰리브덴층을 더 포함하며, 상기 패터닝된 크롬-함유층의 일부 또는 전부가 제거되는 것을 특징으로 하는 포토마스크.
  29. 제 26 항에 있어서,
    상기 석영층의 에칭 피쳐들을 더 포함하는 것을 특징으로 하는 포토마스크.
  30. 청구항 30은(는) 설정등록료 납부시 포기되었습니다.
    제 29 항에 있어서, 상기 석영층의 에칭 피쳐들은,
    상기 패터닝된 크롬-함유층 상에 제 2 패터닝된 레지스트층을 형성하는 단계 - 상기 크롬-함유층의 제 1 개구부는 레지스트로 채워지고 상기 크롬-함유층의 제 2 개구부는 상기 제 2 패터닝된 레지스트를 통해 개방됨 - ;
    상기 제 2 개구부를 통해 상기 석영층을 에칭하는 단계; 및
    상기 제 2 패터닝된 레지스트층을 제거하는 단계
    를 포함하는 프로세스에 의해 형성되는 것을 특징으로 하는 포토마스크.
KR1020060007612A 2005-01-27 2006-01-25 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법 KR100822276B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/044,339 2005-01-27
US11/044,339 US7790334B2 (en) 2005-01-27 2005-01-27 Method for photomask plasma etching using a protected mask

Publications (2)

Publication Number Publication Date
KR20060086863A KR20060086863A (ko) 2006-08-01
KR100822276B1 true KR100822276B1 (ko) 2008-04-16

Family

ID=36353313

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060007612A KR100822276B1 (ko) 2005-01-27 2006-01-25 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법

Country Status (7)

Country Link
US (1) US7790334B2 (ko)
EP (1) EP1686422B1 (ko)
JP (1) JP2006209128A (ko)
KR (1) KR100822276B1 (ko)
AT (1) ATE499631T1 (ko)
DE (1) DE602006020202D1 (ko)
TW (1) TWI313394B (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
CN101501568B (zh) * 2006-08-11 2013-07-10 奥立孔美国公司 最小化cd蚀刻偏差的方法
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
KR101095678B1 (ko) * 2008-09-04 2011-12-19 주식회사 하이닉스반도체 크롬리스 위상반전마스크의 제조 방법
EP2209048B1 (en) * 2009-01-15 2013-09-04 Shin-Etsu Chemical Co., Ltd. Method for manufacturing a photomask, and dry etching method
TWI595691B (zh) * 2010-07-28 2017-08-11 應用材料股份有限公司 用於磁性媒材圖案化之阻劑強化
JP6271235B2 (ja) 2013-01-24 2018-01-31 キヤノンアネルバ株式会社 フィンfetの製造方法およびデバイスの製造方法
DE102013203995B4 (de) * 2013-03-08 2020-03-12 Carl Zeiss Smt Gmbh Verfahren zum Schützen eines Substrats während einer Bearbeitung mit einem Teilchenstrahl
KR102462349B1 (ko) 2014-08-14 2022-11-01 어플라이드 머티어리얼스, 인코포레이티드 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법
KR102069960B1 (ko) * 2015-03-27 2020-01-23 호야 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크 및 위상 시프트 마스크의 제조 방법, 및 반도체 장치의 제조 방법
US10847596B2 (en) * 2017-11-10 2020-11-24 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Bendable display panel and fabricating method thereof
CN109557761B (zh) * 2018-12-07 2022-03-08 深圳市华星光电半导体显示技术有限公司 掩膜板制作方法
WO2023282191A1 (ja) * 2021-07-05 2023-01-12 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10150019A (ja) 1996-07-31 1998-06-02 Applied Materials Inc フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
KR20010082591A (ko) * 1999-12-21 2001-08-30 이데이 노부유끼 전자 방출 장치, 냉음극 전계 전자 방출 소자 및 그 제조방법, 및 냉음극 전계 전자 방출 표시 장치 및 그 제조 방법

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4357195A (en) * 1979-06-25 1982-11-02 Tegal Corporation Apparatus for controlling a plasma reaction
JPS5947733A (ja) 1982-09-13 1984-03-17 Hitachi Ltd プラズマプロセス方法および装置
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS6062125A (ja) 1983-09-16 1985-04-10 Toshiba Corp プラズマエツチング方法
JPS611023A (ja) 1984-06-13 1986-01-07 Teru Saamuko Kk バツチプラズマ装置
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JPS61263125A (ja) 1985-05-15 1986-11-21 Tokuda Seisakusho Ltd ドライエツチング装置
DE3613181C2 (de) 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
JPH0691035B2 (ja) 1986-11-04 1994-11-14 株式会社日立製作所 低温ドライエツチング方法及びその装置
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
DE3733135C1 (de) * 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
JP2860653B2 (ja) 1988-06-13 1999-02-24 東京エレクトロン株式会社 プラズマ処理方法
JPH02156529A (ja) 1988-10-11 1990-06-15 Tegal Corp 半導体ウェーハの酸化物層傾斜エッチング方法
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US4889588A (en) * 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
JPH02312229A (ja) 1989-05-26 1990-12-27 Fuji Electric Co Ltd プラズマエッチング方法
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JPH03129820A (ja) 1989-10-16 1991-06-03 Seiko Epson Corp 半導体製造装置及び半導体装置の製造方法
DE3940083A1 (de) 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
US5160408A (en) * 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
JPH04303929A (ja) 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
JP2988122B2 (ja) * 1992-05-14 1999-12-06 日本電気株式会社 ドライエッチング装置および半導体装置の製造方法
JPH06342769A (ja) 1992-08-21 1994-12-13 Nissin Electric Co Ltd エッチング方法及び装置
US5352324A (en) * 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JP3064769B2 (ja) * 1992-11-21 2000-07-12 アルバック成膜株式会社 位相シフトマスクおよびその製造方法ならびにその位相シフトマスクを用いた露光方法
US5674647A (en) * 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
JPH06181185A (ja) 1992-12-14 1994-06-28 Toshiba Corp プラズマ表面処理装置
JP3453435B2 (ja) * 1993-10-08 2003-10-06 大日本印刷株式会社 位相シフトマスクおよびその製造方法
JPH07142453A (ja) 1993-11-16 1995-06-02 Kokusai Electric Co Ltd プラズマエッチング装置
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5952128A (en) * 1995-08-15 1999-09-14 Ulvac Coating Corporation Phase-shifting photomask blank and method of manufacturing the same as well as phase-shifting photomask
JPH0892765A (ja) * 1994-09-22 1996-04-09 Tokyo Electron Ltd エッチング方法
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
JP3685832B2 (ja) * 1995-02-28 2005-08-24 ソニー株式会社 半導体装置の製造方法
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JP3397933B2 (ja) * 1995-03-24 2003-04-21 アルバック成膜株式会社 位相シフトフォトマスクブランクス、位相シフトフォトマスク、及びそれらの製造方法。
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
JP3484557B2 (ja) 1995-05-12 2004-01-06 大日本印刷株式会社 位相シフトフォトマスクの製造方法
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP2000098582A (ja) * 1998-09-17 2000-04-07 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス、位相シフトフォトマスク及びそれらの製造方法、並びに該ブランクスの製造装置
KR100311234B1 (ko) * 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6569595B1 (en) * 1999-02-25 2003-05-27 Kabushiki Kaisha Toshiba Method of forming a pattern
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6716758B1 (en) * 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP2001201842A (ja) * 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
US6268257B1 (en) * 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
JP2004503829A (ja) * 2000-06-15 2004-02-05 アプライド マテリアルズ インコーポレイテッド 基板上のメタル層をエッチングする方法および装置
JP3760086B2 (ja) * 2000-07-07 2006-03-29 株式会社ルネサステクノロジ フォトマスクの製造方法
DE10043315C1 (de) * 2000-09-02 2002-06-20 Zeiss Carl Projektionsbelichtungsanlage
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
WO2003036704A1 (en) * 2001-10-22 2003-05-01 Unaxis Usa, Inc. Method and apparatus for the etching of photomask substrates using pulsed plasma
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
KR100505283B1 (ko) 2001-10-31 2005-08-03 미쓰이 가가쿠 가부시키가이샤 펠리클 및 펠리클 부착 마스크의 제조 방법
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
JP4184128B2 (ja) * 2002-03-29 2008-11-19 Hoya株式会社 フォトマスクブランクの製造方法及び製造装置、並びに不要膜除去装置
DE10223997A1 (de) * 2002-05-29 2003-12-18 Infineon Technologies Ag Verfahren zur Herstellung von Fotomasken für die Strukturierung von Halbleitersubstraten durch optische Lithografie
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20040097077A1 (en) 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US6720116B1 (en) 2003-01-09 2004-04-13 Taiwan Semiconductor Manufacturing Company Process flow and pellicle type for 157 nm mask making
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US20040224524A1 (en) 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
DE10345476B4 (de) * 2003-09-30 2006-08-31 Infineon Technologies Ag Lithographische Maske und Verfahren zum Bedecken einer Maskenschicht
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10150019A (ja) 1996-07-31 1998-06-02 Applied Materials Inc フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
KR20010082591A (ko) * 1999-12-21 2001-08-30 이데이 노부유끼 전자 방출 장치, 냉음극 전계 전자 방출 소자 및 그 제조방법, 및 냉음극 전계 전자 방출 표시 장치 및 그 제조 방법

Also Published As

Publication number Publication date
TW200632534A (en) 2006-09-16
ATE499631T1 (de) 2011-03-15
TWI313394B (en) 2009-08-11
US7790334B2 (en) 2010-09-07
KR20060086863A (ko) 2006-08-01
EP1686422A3 (en) 2008-07-02
US20060166106A1 (en) 2006-07-27
EP1686422B1 (en) 2011-02-23
EP1686422A2 (en) 2006-08-02
JP2006209128A (ja) 2006-08-10
DE602006020202D1 (de) 2011-04-07

Similar Documents

Publication Publication Date Title
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
KR101196617B1 (ko) 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
KR100828781B1 (ko) 포토마스크 제조용으로 적합한 카본 하드마스크를 통한크롬 층의 플라즈마 에칭 방법
JP2006215552A5 (ko)
US7879510B2 (en) Method for quartz photomask plasma etching
KR100944846B1 (ko) 마스크 에칭 프로세스
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
LAPS Lapse due to unpaid annual fee