JP2004503829A - 基板上のメタル層をエッチングする方法および装置 - Google Patents

基板上のメタル層をエッチングする方法および装置 Download PDF

Info

Publication number
JP2004503829A
JP2004503829A JP2002511020A JP2002511020A JP2004503829A JP 2004503829 A JP2004503829 A JP 2004503829A JP 2002511020 A JP2002511020 A JP 2002511020A JP 2002511020 A JP2002511020 A JP 2002511020A JP 2004503829 A JP2004503829 A JP 2004503829A
Authority
JP
Japan
Prior art keywords
processing chamber
substrate
watts
gas
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002511020A
Other languages
English (en)
Inventor
ストアー, ブリジット
ウエルチ, マイケル
ブイエ, メリッサ,ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2004503829A publication Critical patent/JP2004503829A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

【課題】フォトマスク等の基板上に配設されたメタル層をエッチングする方法および装置。
【解決手段】一特徴では、処理チャンバ内に基板を配置するステップと、(i)1種以上の水素含有フルオロカーボンガスと(ii)酸素含有ガスと(iii)塩素含有ガス、随意(iv)不活性ガスを有する処理ガスを処理チャンバへ導入するステップと、を有する、基板を処理する方法が提供され、基板は温度を下げて維持され、基板上に配設されたメタル層の露出部分をエッチングするために、低電力レベルで処理ガスがプラズマ状態に励起される。
【選択図】図1

Description

【0001】
【発明の属する技術分野】
本出願は、参照事項として本明細書に包含される米国仮特許出願(出願第60/211,670号、2000年6月15日出願)の利益を主張する。
【0002】
本発明は集積回路の製作に関し、集積回路の製造に有用なフォトマスクの作製に関する。
【0003】
【従来の技術】
半導体デバイスの幾何学的形状は、数十年前にこのデバイスが初めて導入されて以来、その大きさを劇的に減らしている。その時以降、集積回路は概ね2年/ハーフサイズの法則(ムーアの法則と呼ばれることが多い)に従ってきたが、それは、チップ上のデバイスの数が2年毎に倍になることを意味する。今日の製造プラントでは0.15μm、更には0.13μmものフィーチャサイズを持つデバイスを定常的に生産しており、明日のプラントでは更に小さい幾何学的形状を持つデバイスをすぐにも生産するであろう。
【0004】
回路密度が高くなることで、半導体デバイスの製作で使用されるプロセスに更なる要請をもたらした。例えば、回路密度が高くなるにつれて、バイア、コンタクト、他のフィーチャ、およびそれらの間の誘電材料の幅がサブミクロン寸法まで減少するのに対して、誘電層の厚さは実質的に一定のままであるので、結果として、フィーチャのアスペクト比、すなわちそれらの高さを幅で除した値が増加する。高アスペクト比のフィーチャを信頼性をもって形成することは、サブミクロン技術の成功にとって、また回路密度、および個々の基板とダイの品質を高める継続的な取り組みにとって重要である。
【0005】
高アスペクト比のフィーチャは従来、フィーチャの寸法を画成するために基板の表面をパターン加工した後、材料を除去してフィーチャを画成するために基板をエッチングすることによって形成される。所望の高さ対幅比を持つ高アスペクト比のフィーチャを形成するために、フィーチャの寸法は、あるパラメータ以内で形成されることが必要で、これは通常、フィーチャの限界寸法として定義される。従って、所望の限界寸法を持つ高アスペクト比のフィーチャを信頼性をもって形成するには、精確なパターン加工と、それに続く基板のエッチングが必要である。
【0006】
フォトリソグラフィは基板表面上に精確なパターンを形成するために使用される技術であり、パターニングされた基板表面がエッチングされて所望のデバイスすなわちフィーチャを形成する。フォトリソグラフィ技術は、エッチングプロセスに先立って基板表面上に精確なパターンを現像するために、光のパターンと、基板表面に堆積されたフォトレジスト材料とを使用する。従来のフォトリソグラフィプロセスでは、エッチング対象層の上にフォトレジストが塗布され、コンタクト、バイアまたは多層配線等、層内でエッチングされるフィーチャは、所望のフィーチャ形状に対応するフォトリソグラフィ用フォトマスクを通過する光のパターンへフォトレジストを露光することによって画成される。例えば、紫外(UV)線を放射する光源を用いてフォトレジストを露光し、フォトレジストの組成を化学的に変質させてもよい。一般的に、露光されたフォトレジスト材料は、下地の基板材料を露出させるために化学プロセスによって除去される。露出した下地の基板材料は次にエッチングされて基板表面にフィーチャを形成するが、一方では、保持されたフォトレジスト材料は、露出されない下地の基板材料の保護皮膜として残る。
【0007】
フォトリソグラフィ用フォトマスクつまりレティクルは通常、石英(すなわち、二酸化珪素SiO)等の光学的に透明なシリコンベース材料でできた基板を有し、メタル、通常はクロムの不透明遮光層が基板の表面上にパターン加工されている。メタル層はパターン加工されてパターンを画成すると共に、基板へ移されることになるフィーチャの寸法に対応するフィーチャを形成する。一般的に、従来のフォトマスクは先ず、石英等の光学的に透明なシリコンベース材料から成る基板上へ薄いメタル層を堆積させて、その薄いメタル層上にフォトレジスト層を堆積させることによって製作される。フォトマスクは、フォトレジストのフィーチャの限界寸法を画成する従来のレーザーまたは電子ビームパターン加工装置を使ってパターン加工される。メタル層は次に、パターニングされたフォトレジストによって保護されないメタル材料を除去するためにエッチングされてフィーチャを形成し、それによって下地のシリコンベース材料を露出させてフォトマスクを形成する。限界寸法によって画成されたフィーチャを形成されたフォトマスクは、比較的変化のない精確なパターンで基板表面上への光の通過を可能にする。
【0008】
【発明が解決しようとする課題】
従来のエッチングプロセス、例えばウェットエッチングは、等方的にエッチングする傾向があり、その結果、フォトマスク上のパターニングされたメタル層の下にアンダーカット現象が発生する場合がある。アンダーカット現象はフォトマスク上に、一様に間を隔てずに、かつフィーチャが所望の真直で垂直な側壁を持たない、パターニングされたフィーチャを作るので、フィーチャの限界寸法を失することがある。更に、フィーチャの等方性エッチングは高アスペクト比のフィーチャの側壁をオーバーエッチングし、その結果フィーチャの限界寸法を失することもある。メタル層内に所望の限界寸法を持たずに形成されたフィーチャは、そこを通過する光に不利な影響を及ぼし、その後のフォトリトグラフプロセスで、フォトマスクによる望ましいとは言えないパターン加工をもたらす場合がある。
【0009】
ドライエッチ処理またはドライエッチングとして周知のプラズマエッチ処理は、ウェットエッチングの代替プロセスを提供し、ウェットエッチングプロセスよりも異方性が高いエッチングを提供する。ドライエッチングプロセスはアンダーカットの生成がより少なく、より真直な側壁およびより平坦な底を持つフォトマスクフィーチャの限界寸法維持を改善することが判明している。従来のドライエッチング処理では、メタン、塩化水素酸等のエッチングガス、酸素等の酸化ガス、およびヘリウム等の不活性ガスのプラズマを用いて基板上に形成されたメタル層をエッチングする。
【0010】
しかしながら、従来のドライエッチング化学反応は過度のエッチングラジカルを生成する傾向があり、これがメタル層フィーチャのエッチング制御を困難にするとともに、オーバーエッチングまたはメタル層フィーチャの限界寸法の不精確なエッチングをもたらすことが多い。更に、従来のドライエッチング化学反応は、下地材料のエッチング速度に比べて予想より速い速度でフォトレジスト材料を除去する場合がある。フォトレジスト材料を除去する速度が速いほど、メタルフィーチャがエッチングされているときに、パターニングされたフォトレジストフィーチャの側壁から材料を早期に除去する結果となる。パターニングされたフォトレジスト層からフォトレジスト材料を早期に除去すると、パターニングされたフォトレジストフィーチャの限界寸法を失することがあり、それはパターニングされたフォトレジスト層によって画成されたメタル層に形成されるフィーチャの限界寸法を失することに対応することもある。
【0011】
メタル層に形成されるフィーチャの限界寸法を失すると、通過する光に不利な影響を与え、フォトマスクによってパターニングされた基板内に多数のパターン加工欠陥と、それに引き続くエッチングの欠陥を生じさせる場合がある。高アスペクト比のサブミクロンフィーチャをエッチングする場合に、フォトマスクフィーチャの限界寸法を失すると、不十分なフォトリソグラフィ成績をもたらすことがあり、限界寸法の喪失が著しい場合は、フォトマスクおよび/またはその後にエッチングされたデバイスの不良をもたらすことになる。
【0012】
従って、メタル層内に所望の限界寸法を有するフィーチャを生成させる、フォトマスク等の基板上のメタル層をエッチングするプロセスと化学反応に対するニーズが依然として残っている。
【0013】
【課題を解決するための手段】
本発明の特徴は概して、フォトマスク等、シリコン基板上に堆積されるメタル層をエッチングする方法および関連する化学系を提供するものである。一特徴では、基板を処理する方法であって、処理チャンバ内の支持部材上に、自身の上にメタル層が形成され約150℃以下の温度に維持される基板を配置するステップと、(i)1種以上の水素含有フルオロカーボンガスと(ii)酸素含有ガスと(iii)塩素含有ガスとを有する処理ガスを、処理チャンバへ導入するステップと、処理チャンバに電力を送りプラズマを発生させるステップと、メタル層の露出部分をエッチングするステップと有する方法が提供される。
【0014】
別の特徴では、シリコンベース基板上に共形メタル層が形成された基板を、パターニングされたフォトレジスト材料がメタル層上に堆積された状態で、処理する方法が提供され、この方法は、コイルを有する処理チャンバ内で支持部材上に基板を配置し、(i)xが1〜5の整数、yが1〜8の整数、zが1〜8の整数の式CxHyFzを有する1つ以上の、水素含有フルオロカーボンガス、(ii)酸素含有ガス、(iii)塩素含有ガス、および(iv)不活性ガス、を有する処理ガスを導入し、約700ワット以下のソースRF電力をコイルに供給して処理チャンバ内にプラズマを発生させ、そしてメタル層の露出部分をエッチングすることを有する。
【0015】
別の特徴では、基板を処理する方法であって、処理チャンバ内に、約150℃以下の温度に維持される基板を配置するステップと、(i)CHF、CHF、CH、CHF、C、およびこれらの組合せからなる群より選択された1種以上の水素含有フルオロカーボンガスと(ii)酸素と(iii)塩素と(iii)ヘリウムとを有する処理ガスを導入するステップと、約700ワット以下のソースRF電力をコイルに供給することによって前記処理チャンバへ電力を送ってプラズマを発生させるとともに、約50ワット以下のバイアス電力を支持部材に供給するステップと、メタル層の露出部分をエッチングするステップとを有する方法が提供される。
別の特徴では、基板上のメタル層を選択的にエッチングする方法であって、処理チャンバ内の支持部材上に、自身の上にメタル層が形成され約150℃以下の温度に維持される基板を配置するステップと、(i)塩化水素酸と(ii)酸素含有ガスと(iii)塩素含有ガスとを有する処理ガスを、処理チャンバへ導入するステップと、約700ワット以下のソースRF電力を供給して処理チャンバに電力を送るステップと、前記メタル層の露出部分をエッチングするステップと
を有する方法が提供される。
【0016】
【発明の実施の形態】
本発明の上記の特徴を達成する方法を詳しく理解できるように、添付図面に図示されるその実施の形態に言及することによって、上記で簡単に要約された本発明のより詳細な説明を行うものとする。
【0017】
しかしながら、添付図面は本発明の典型的な実施の形態のみを示すのであるから、その範囲を限定するものと考えるべきではないことに注意すべきであり、なぜなら、本発明は他の同程度に有効な実施の形態を容認し得るからである。
【0018】
本発明の特徴を、米国カリフォルニア州サンタクララのアプライドマテリアルズ社製のチャンバである分離型プラズマ源(Decoupled Plasma Source:DPS)等の誘導結合型プラズマエッチングチャンバを参照して以下説明する。例えば、容量結合式平行プレートチャンバや磁気強化式イオンエッチングチャンバ、並びに異なる設計の誘導結合式プラズマエッチングチャンバを有する他のプロセスチャンバを用いて本発明のプロセスを実行してもよい。このプロセスはDPS処理チャンバを用いて実行すると好都合であるが、DPS処理チャンバに関する記述は説明のためであって、本発明の特徴の範囲を限定するものと見なしたり解釈したりするものではない。
【0019】
図1は、ここで説明するプロセスを実行するために使用可能なDPS処理チャンバの一実施の形態の概略断面図である。処理チャンバ10は概ね、円筒形側壁つまりチャンバ本体12、本体12上に取り付けられたエネルギー透過ドーム天井13、およびチャンバ底部17を有する。誘導原コイル26はドーム13の少なくとも一部の周りに配設される。処理チャンバ10のチャンバ本体12とチャンバ底部17は陽極酸化アルミ等のメタルから作られ、ドーム13はセラミック他の誘電材料等のエネルギー透過材料から作られる。基板支持部材16が処理チャンバ10内に配設されて、処理中の基板20を支持する。支持部材16は、支持部材16の少なくとも一部を導電性にするとともにプロセスバイアスカソードとして機能することができる従来型の機械式チャックまたは静電チャックによるものでよい。プラズマゾーン14はプロセスチャンバ10、基板支持部材16およびドーム13によって画成される。
【0020】
処理ガスは、支持部材16の周辺に配設されたガス分配器22を通って処理チャンバ10に導入される。プラズマは、誘導原コイル26に電力を供給してプラズマゾーン14内に電磁場を発生させるコイル電源27を用いて、処理ガスから形成される。支持部材16は内部に配設された電極を有し、この電極は電極電源28によって電力を与えられて、処理チャンバ10内に容量性の電場を発生させる。通常、RF電力が支持部材16内の電極に与えられる一方で、本体12は電気的に接地される。容量性電場は支持部材16の面を横断しており、基板20の、より垂直に配向された異方性エッチングを提供するために、基板20に対するより垂直な帯電核種の指向性に影響を及ぼす。
【0021】
プロセスガスおよびエッチング剤の副生成物は、排気システム30を介してプロセスチャンバ10から排出される。排気システム30を処理チャンバ10の底部17に配設してもよいし、処理ガスの除去のために処理チャンバ10の本体12内に配設してもよい。処理チャンバ10内の圧力を制御するためにスロットルバルブ32が排気ポート34内に設けられる。光学的エンドポイント測定装置を処理チャンバ10に接続して、チャンバ内で実行されるプロセスのエンドポイントを決定するようにしてもよい。
【0022】
模範的なエッチングプロセス
一般的に、フォトマスクにマスク層を形成するために使用されるクロム等のメタル層が、所望の限界寸法を有するフィーチャを生成するためにエッチングされる。メタル層のエッチングで使用される処理ガスは(i)1つ以上の、水素含有フルオロカーボンガスガス、(ii)酸素含有ガス、および(iii)塩素含有ガスを有する。処理ガスは更に不活性ガスを含んでもよい。水素含有フルオロカーボンガスガスを、パターニングされたフォトレジスト材料およびエッチングされたメタル層に形成されたフィーチャの表面、特には側壁の上のパッシベーション用ポリマー堆積を形成するために使用すると好都合である。パッシベーション用ポリマー堆積はフィーチャの過度なエッチングを防ぐので、所望の限界寸法を持ったフィーチャを生成する。
【0023】
水素含有フルオロカーボンガスの一般式は、xが炭素原子1〜5の整数、yが水素原子1〜8の整数、zがフッ素原子1〜8の整数であるCである。水素含有フルオロカーボンガスガスの例はCHF、CHF、CH、CHF、C、およびこれらの組合せを有する。メタル層をエッチングする場合、炭素原子1〜2個、水素原子1〜4個、およびフッ素原子1〜5個を有する水素含有フルオロカーボンガスガスを使用してもよい。メタン(CH)、エタン(C)、およびエテン(C)等の非フッ素含有炭化水素ガスをフルオロカーボンガスガスに加え、パッシベーション用ポリマー堆積の形成を更に強化してもよい。
【0024】
処理ガスの塩素含有ガスは、塩素(Cl)、塩化水素酸(HCl)、四塩化珪素(SiCl)、三塩化ホウ素(BCl)、およびこれらの組合せのグループから選択され、メタル層をエッチングする反応性の高いラジカルを供給するために使用される。酸素(O)等の酸素含有ガスを処理ガスへ添加することにより、パッシベーション用ポリマー堆積を除去するとともにパッシベーション用ポリマー堆積の形成を最小にするエッチングラジカルのソースを提供する。更に、酸素含有ガスは炭素含有酸素ベースガスと組み合わせることができ、あるいは一酸化炭素(CO)や二酸化炭素(CO)等の炭素含有酸素ベースガスで置換されて、エッチングプロセス中にフィーチャ上のパッシベーション用ポリマー堆積の形成を強化する炭素核種のソースも提供することができる。
【0025】
また処理ガスは、処理ガスを有するプラズマの一部としてイオン化された場合、フィーチャのエッチング速度を上昇させるスパッタリング核種となる不活性ガスを含んでもよい。プラズマの一部として不活性ガスが存在すれば、処理ガスの解離を高めることができる。不活性ガスの例はアルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)、およびそれらの組合せを有し、それらの内、アルゴンとヘリウムが一般的に使用される。
【0026】
ここで記載した処理ガスを使用してフォトマスク製作プロセス中に基板上へ堆積されたフォトレジスト材料等の材料の熱分解を最小にするために、基板は処理中、約150℃以下の温度に維持される。基板温度は一般的に、基板表面のエッチングの間、約50℃と約150℃の間に維持される。約80℃と約100℃の間の温度を採用して、基板面上に堆積された材料の最少熱分解でフォトマスクフィーチャをエッチングしてもよい。基板温度は、エッチングプロセス中の重合反応を制限することによってパッシベーション用ポリマー堆積の形成を調節する役目があるとも考えられている。更に、処理チャンバの側壁は約70℃未満の温度に維持され、ドームは、一貫した処理条件を維持するとともに処理チャンバの表面へのポリマー形成を最小にするために、約80℃未満の温度に維持される。
【0027】
一般的に、エッチングプロセス中に処理ガスのプラズマを発生させ、それを維持するため、約700ワット以下のソースRF電力レベルを誘導原コイルに加える。約200ワットと約700ワットの間の電力レベルをエッチングプロセス中に使用してもよい。基板表面をエッチングするのに十分な処理ガスのプラズマを十分に提供する約400ワットと約500ワットの間の電力レベルが観察された。基板を約50℃から約150℃の温度に維持するために従来技術のメタルエッチングプロセスと比べて十分に低い電力レベルを提供しつつ、基板に配設された露出メタル層をエッチングするために処理ガスから十分なエッチングラジカルと重合ラジカルを生成する、上記ソースRF電力レベルが観察された。
【0028】
一般的に、約200ワット以下のバイアス電力も、基板の表面に対してエッチングラジカルの指向性を高めるために基板に加えられる。例えば約5ワットと約40ワットの間の、50ワット未満のバイアス電力がエッチングプロセスで通常使用される。エッチングプロセス中にエッチングラジカルの十分な指向性を提供する、約5ワットと20ワットの間のバイアスが観察された。
【0029】
低下させた電力レベルおよび基板温度で処理ガスのプラズマを発生させると、フィーチャを過度にエッチングすることなくメタル層の露光された部分を除去して所望の限界寸法を生成すると考えられている。また、低下させた電力レベルおよび基板温度はフォトレジストの劣化を防ぎ、フォトレジスト材料内に画成されたフィーチャの限界寸法を実質的に有するフィーチャをメタル層に生成するとも考えられている。
【0030】
以下の記載では、フォトマスク製作におけるクロム等のメタル層をエッチングするプロセスシーケンスの一実施の形態を説明するが、そのエッチングガスを使用して、半導体とフォトマスク製造で基板上に形成される他のメタル層をエッチングすることが検討されている。
【0031】
図2は、エッチングプロセスの1つのプロセスシーケンスの一実施の形態のフローチャートである。フローチャートは説明を目的としたもので、本発明の特徴の範囲を制限すると見なすものではない。通常は光学的品質の石英や珪化モリブデン等のシリコンベース材料を有する基板が、ステップ210で、図1のDPS処理チャンバ10等の処理チャンバに供給される。そしてステップ220で、通常はクロムである不透明の共形メタル層を基板上に堆積させることによって、基板が処理される。
【0032】
ステップ230で、メタル層に形成されるフィーチャの寸法は、共形メタル層を露出させるために第一のフォトレジスト材料を堆積およびパターンエッチングすることによってパターン加工される。フォトマスク製作に使用されるフォトレジスト材料は通常、低温のフォトマスク材料であり、本明細書では約250℃未満の温度で熱劣化するフォトマスク材料として定義される。次にステップ240では、下地の基板を露出させるために共形メタル層をエッチングすることによって、フィーチャが基板に形成される。そして残っているフォトレジスト材料が除去される。
【0033】
その後、シリコンベース材料をエッチングするために基板を更に処理してもよい。第二のフォトレジスト材料を堆積およびパターンエッチングして(ステップ250)基板を露出させ、基板のシリコンベース材料にエッチングのための準備がなされる。次に基板はDPS処理チャンバへ移送され、そこで、フルオロカーボンガスを有する処理ガスが処理チャンバへ導入されてプラズマが生成されるので、基板の露出されたシリコンベース材料がエッチングされる(ステップ260)。基板のシリコンベース材料のエッチングについては、本発明の特徴に矛盾しない範囲での引用によって本明細書に盛り込まれる同時係属中の特許出願(出願第09/625,313号、発明の名称「フォトマスクをエッチングする方法および装置」、2000年7月25日出願)の中で十分に説明されている。
【0034】
図3A〜3Eはエッチングステップ前のフォトマスク構成を図示するとともに、図2で述べたプロセスも図示する。通常は光学的品質の石英材料310で作られる基板300が処理チャンバへ導入される。図3Aで示すように、クロムで作られたメタル層320が石英材料310上に堆積される。クロム層を、当該技術分野で周知の従来方法、例えば物理蒸着(PVD)や化学的気相成長(CVD)の各技法によって堆積させてもよい。クロム層320は通常、約100と約200ナノメートル(nm)の間の厚さまで堆積されるが、層の深さはメーカーの要件と、基板またはメタル層の材料の組成に基づいて変更してもよい。
【0035】
図3Bによれば、基板300は次に別の処理チャンバへ移送され、そこで、デュポン社DuPont de Nemours Chemical Company製の「RISTON」等のフォトレジスト材料330の層が、約200と600nmの間の厚さまでクロム層320上に堆積される。フォトレジスト材料330は次に、従来のレーザーまたは電子ビームパターン加工装置を使用してパターンエッチングされ、クロム層320に形成されるフィーチャの寸法を画成するために使用されるフィーチャ325を形成する。
【0036】
次に基板300は、上記DPS処理チャンバ10等のエッチングチャンバへ移送され、クロム層320が、当該技術分野で周知のメタルエッチング技法を用いて、または開発されることになる新しいメタルエッチング技術によってエッチングされ、図3Cに示すように、下地の石英材料310を露出させるフィーチャ335を形成する。
【0037】
ここに記載の水素含有フルオロカーボンガスガスを使用して基板上のメタル層をエッチングする処理例は以下の通りである。基板を支持部材16の上に配置し、処理ガスがチャンバへ導入され、クロム層320をエッチングするためにプラズマが生成される。
【0038】
処理ガスは1つ以上の水素含有フルオロカーボンガス、酸素含有ガス、塩素含有ガス、および不活性ガスを有する。処理ガスは、約50sccmと約300sccmの間の流量で処理チャンバへ導入される。例えば、約100sccmの流量をエッチングプロセス中に用いてもよい。水素含有フルオロカーボンガスガスは、例えば、CHF、CHF、CH、CHF、C、およびそれらの組合せを有し、約1sccm〜約15sccmの流量で処理チャンバへ導入される。約2sccm〜約7sccmの流量で水素含有フルオロカーボンガスガスを処理チャンバに供給してもよい。酸素含有ガスは、例えば、酸素を有し約10sccm〜約100sccmの間の流量で処理チャンバへ導入される。酸素含有ガスを、約10sccm〜約50sccmの流量でチャンバへ導入してもよい。塩素含有ガス、例えば塩素は、約30sccm〜約150sccmの流量で処理チャンバへ導入される。塩素含有ガスを、約40sccm〜約100sccmの流量で処理チャンバへ導入してもよい。不活性ガス、例えばヘリウムは、約30sccm〜約100sccmの流量で処理チャンバへ導入される。不活性ガスを、約60sccm〜約80sccmの流量で処理チャンバへ導入してもよい。
【0039】
一般的に、処理チャンバ圧力は約2ミリTorr〜約25ミリTorrに維持される。エッチングプロセス中は、約10ミリTorr〜約20ミリTorrのチャンバ圧力を維持してもよい。処理ガスを構成する化合物の実際の流量は、処理チャンバの容積と、要求されるエッチングの所望量に依存する。従って、ここで説明する特徴は上記流量に限定されない。
【0040】
約200ワット〜約700ワットのソースRF電力を誘導原コイルに加えて、エッチングプロセス中に処理ガスのプラズマを発生させるとともにこれを保持する。約5ワット〜約40ワットのバイアス電力を基板支持体に加える。実際のソースRF電力とバイアス電力のレベルは、必要なプロセス制御と、エッチングされる構造のタイプに依存する。例えば、最新のフォトエッチマスクでは、エッチングする必要があるフィーチャが小さいほど、エッチングプロセスの間中、より高度な制御を行う必要がある。より高度な制御、すなわち、必要なより高精度のエッチングプロセスは一般的に、電力レベルを制御する−通常は電力レベルを低下させる−ことでよりゆっくりしたエッチングを与えることにより実行されて、実現可能な度合のエッチングに到達する。従って、処理パラメータ、例えばここで記載した電力レベルが本発明の特徴の範囲を限定すると見なされたり解釈されたりするものではない。エッチングプロセス中、基板温度は約50℃〜約150℃に維持される。更に処理チャンバ10の側壁15は約70℃未満の温度に維持され、ドームは約80℃未満の温度に維持される。
【0041】
図3A〜3Cによれば、クロム層320のエッチング完了後、基板300は処理チャンバに移送され、残っているフォトレジスト材料330は通常、酸素プラズマプロセスまたは当該技術分野で周知の別のフォトレジスト除去技法によって基板300から除去される。
【0042】
図3D及び3Eによれば、石英材料310をエッチングすることによって、基板300を更に処理してもよい。石英材料310をエッチングする際、フォトレジスト材料330を除去して、第二のフォトレジスト材料340が、フィーチャ335内の下地の石英材料310を露出するために塗布およびパターン加工される。フォトレジスト材料は深さ約200nm〜600nmまで堆積されるが、これは任意の厚さであってよく、またフォトマスクを形成するために石英材料310にエッチングされるフィーチャの深さと同じ厚さでもよい。基板300は次に、フォトレジスト層340、メタル層320、および石英材料310にフィーチャ345を形成するためにエッチングされる。第二のフォトレジスト材料340は、パターニングされた基板表面355を形成するために除去される。パターニングされた基板300は次に、石英材料310のプラズマエッチングのために、DPS処理チャンバ10等のエッチングチャンバへ移送される。
【0043】
上記の処理ガス組成と処理方式は、所望の限界寸法を持つ垂直および水平多重配線等のフィーチャの制御可能なエッチングを提供すると考えられている。フィーチャのエッチングは、フィーチャの側壁と底部に凝縮するパッシベーション用ポリマー堆積の形成を生成および制御することによって管理してもよい。パッシベーション用ポリマー堆積は、下地材料がエッチングされる前に表面からエッチングおよび除去されるので、パッシベーション用ポリマー堆積の下地材料のエッチング速度が低下すると考えられる。
【0044】
更に、プラズマエッチングプロセスは一般的に異方性である。異方性プロセスは、フィーチャ底部に堆積される材料を、フィーチャの側壁上材料よりも速い速度で除去するだろう。これによって、フィーチャの側壁上のパッシベーション用ポリマー堆積は、フィーチャ底部のパッシベーション用ポリマー堆積よりも遅い速度で除去される。プラズマエッチングプロセスの異方性エッチングと、フィーチャの側壁に形成されたパッシベーション用ポリマー堆積とを組み合わせると、フィーチャの側壁と対照的に、フィーチャ底部へのエッチングの選択度が高くなるであろう。より遅い速度でフィーチャの側壁をエッチングするエッチプロセスは、エッチングプロセス中にフィーチャの側壁をオーバーエッチングする可能性を減少させ、所望限界寸法を持つフィーチャの生成を可能にするだろう。
【0045】
更に、エッチングプロセスは、処理ガスの組成を制御することによって更に制御できると考えられる。パッシベーション用ポリマー堆積は一般的に水素含有フルオロカーボンガスから形成され、処理ガス中の重合可能な炭素核種を提供すると考えられている。例えば、遊離炭素、水素、CF等の炭素含有ラジカル、CHF等のフッ素含有炭化水素ラジカルは、エッチングプロセス中、表面、詳細にはフィーチャの側壁にパッシベーション用ポリマー堆積を重合および形成する傾向を持つ。水素含有炭素ラジカル、例えばCHFからのCHFは、CFラジカル等の水素遊離炭素ラジカルよりも重合する傾向が大きく、水素遊離ポリマーよりも反応性が小さく、基板の表面からの除去がより困難である。メタンやエタン等の炭化水素ガスを処理ガスに加えて炭素源を供給することによって、ポリマーの形成を高めるようにしてもよい。更に遊離フッ素ラジカルは、反応性が高く、処理ガス中のフッ素量が大きいほど、フィーチャの表面に形成されるパッシベーション用ポリマー堆積のエッチング速度が増すことが観察されている。従って、パッシベーション用ポリマー堆積の形成は、水素含有フルオロカーボンガスガスの水素、炭素、およびフッ素含量を選択してポリマー形成を増減させることによって制御できる。
【0046】
更に、酸素等の酸素含有ガスおよび一酸化炭素等の炭素を有する酸素ベースガスを使用して、基板上のパッシベーション用ポリマー堆積の形成と除去を制御することによって処理ガスのエッチング速度を制御してもよい。酸素ガスは、他の核種と反応する遊離酸素核種の形成を高め、パッシベーション堆積として、エッチングされたフィーチャの表面上に堆積するポリマーの形成を減少させる。例えば、酸素含有ガスは、CF等のプラズマプロセスのラジカルの一部と反応し、処理チャンバから排出されるCOF等の揮発性ラジカルを形成する。
【0047】
一酸化炭素等の炭素含有酸素ベースガスを使用して、基板上にパッシベーション用ポリマー堆積を形成してエッチングの速度を制御する炭素含有核種の形成を高めることができる。炭素含有酸素ベースガスはフッ素核種と反応し、COFとCOHF等の揮発性核種を形成し、処理チャンバ内のフッ素濃度を低下させるので、処理ガスによるエッチング速度を低下させることができる。また炭素含有酸素ベースガスは、フルオロカーボンガスガスによるポリマーの形成を更に高める炭素源を追加して提供するので、エッチングされたフィーチャの側壁のパッシベーション用ポリマー堆積の形成を高める。従って、炭素含有酸素ベースガスは、エッチング速度を低下させるポリマーの形成を高めることができる一方、酸素等の酸素含有ガスを処理ガスの中で使用して、ポリマーの形成を減少させるとともにフィーチャの側壁のエッチングを増加させることができる。酸素含有ガスの例は酸素(O)とオゾン(O)を有し、炭素含有酸素ベースガスは一酸化炭素(CO)と二酸化炭素(CO)を有し、これらの内、COとOは処理ガス組成の中で使用することが望ましい。
【0048】
また反応性の高いエッチング剤ラジカルの主要源として処理ガスの中で使用される塩素含有ガスも、エッチングプロセスを制御するために使用できる。例えば、HClは水素をプロセスに供給し、エッチングが困難なクロム酸化物をクロムメタルに変換して、酸素が処理ガス中に含まれている場合にメタル層のエッチングを増加させる。BCl中のホウ素はポリマー源として組み込まれ、パッシベーション堆積の形成を向上させるとともにフィーチャの側壁のエッチングを減少させる。SiClは遊離フッ素ラジカルと反応して、処理チャンバから排出される揮発性SiCl核種を形成できるので、処理チャンバ内のフッ素エッチング剤を減少させてエッチング速度の制御を改善する。
【0049】
更に不活性ガスは、エッチングされたフィーチャの側壁のパッシベーション用ポリマー堆積を弾き飛ばすイオン化されたスパッタリング核種を形成する。不活性ガスもイオン化され、処理ガスの解離を高めるイオン化された準安定状態を形成する。十分な不活性ガスを処理ガスに加え、エッチング速度を制御するために基板からパッシベーション用ポリマー堆積を弾き出すのを助けるとともに、処理ガスの解離速度を制御するようにしてもよい。しかしながら、不活性ガスが過度に流れると、基板上にフォトレジストの過度なスパッタリングを発生させるので、フォトレジスト材料の下地のメタル層のオーバーエッチングとフォトレジスト材料のオーバーエッチングをもたらし、そのいずれもメタル層に形成されるフィーチャの限界寸法を失せる場合がある。
【0050】
代替の実施の形態では、メタル層は(i)塩化水素酸(HCl)、(ii)酸素含有ガス、および(iii)塩素含有ガスを有する処理ガスを使用して所望の限界寸法でエッチングしてもよい。処理ガスは更に不活性ガスを含んでもよい。処理ガスの塩素含有ガスは、メタル層をエッチングする反応性の高いラジカルを供給するために使用される塩素(Cl)、四塩化珪素(SiCl)、三塩化ホウ素(BCl)、およびこれらの組合せからなる群より選択される。酸素含有ガスは酸素(O)、一酸化炭素(CO)、二酸化炭素(CO)、およびそれらの組合せを有する。不活性ガスはアルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)、およびそれらの組合せを含んでもよく、それらの内、アルゴンとヘリウムが一般的に使用される。
【0051】
塩化水素酸を有する処理ガスを使用するエッチングプロセスは、まず処理ガスを約50sccm〜約300sccmの流量で処理チャンバへ導入することによって実行してもよい。例えば、エッチングプロセス中は約100sccmの流量を使用してもよい。塩化水素酸を、約30sccmと約150sccmの間の流量で処理チャンバへ導入してもよい。また塩化水素酸を、約40sccm〜約100sccmの流量で処理チャンバへ導入してもよい。例えば、酸素を有する酸素含有ガスを、約10sccm〜約100sccmの流量で処理チャンバへ導入してもよい。また酸素含有ガスを、約10sccm〜約50sccmの流量で処理チャンバに導入してもよい。塩素含有ガス、例えば塩素が、約30sccm〜約150sccmの流量で処理チャンバへ導入される。また塩素含有ガスを、約40sccm〜約100sccmの流量で処理チャンバへ導入してもよい。不活性ガス、例えばヘリウムが、約30sccm〜約100sccmの流量で処理チャンバへ導入される。不活性ガスを、約60sccm〜約80sccmの流量で処理チャンバへ導入してもよい。
【0052】
一般的に、塩化水素酸を有する処理ガスを使用してエッチングする場合、処理チャンバ圧力は約2ミリTorr〜約25ミリTorrに維持される。エッチングプロセス中は、チャンバ圧力を約10ミリTorr〜約20ミリTorrに維持してもよい。しかしながら、処理ガスを構成する組成物の実際の流量と堆積圧力は、異なる体積を収容するチャンバ内での十分なエッチングと必要な所望量のエッチングを実行するために変更してもよい。従って、本発明の特徴をここに記載される流量に限定しないものとする。
【0053】
約200ワット〜約700ワットのソースRF電力が誘導原コイルに加えられ、エッチングプロセス中、処理ガスのプラズマを発生するとともに、これを保持する。約5ワット〜約40ワットのバイアス電力が基板支持体に加えられる。エッチングプロセス中、基板温度は約50℃〜約150℃に維持される。更に、処理チャンバ10の側壁15は約70℃未満の温度に維持され、ドームは約80℃未満の温度に維持される。
【0054】
塩化水素酸を有する処理ガスは、エッチング中にフォトレジスト材料の化学分解を防ぐことによって、所望の限界寸法を持つフィーチャの形成を可能にすると考えられる。更に塩化水素酸は、還元剤として働く塩化水素酸の水素成分によるフォトレジスト材料の化学分解を防ぎ、エッチング中の酸素含有ガスによるフォトレジスト材料の過度の酸化を防ぐと考えられる。また水素も、エッチングが困難なクロム酸化物をクロムメタルに還元し、酸素が処理ガス中に含まれる場合にメタル層のエッチングを増加させることができる。
【0055】
本発明は、請求する発明の範囲を限定する意図のない下記の例により更に説明される。
【0056】
エッチングプロセス
シリコンベース材料、好ましくは、厚さが略100ナノメートル(nm)のクロム層が堆積した光学的品質の石英から作られた基板を有するフォトマスクが、フォトレジスト堆積のために処理チャンバへ導入される。デュポン社製の「RISTON」等のフォトレジストがクロムフォトマスクの上に堆積された後に、従来のレーザーまたは電子ビームパターン加工装置を使用してパターン加工される。エッチングされたフォトマスクに堆積されたフォトレジストの厚さは約200nm〜約600nm、例えば約300nm〜約570nmであるが、所望の任意の厚さにすることができる。フォトレジストの厚さは、クロム層の下地のシリコンベース材料を露出させるためにエッチングされるクロム層と同じ厚さでもよい。フォトマスクは上記のDPSメタルエッチングチャンバ等のエッチングチャンバ内に載置される。パターニングされた基板は次に、エッチングチャンバのカソードペデスタルの上に載置され、チャンバは約10ミリTorr〜約15ミリTorrの圧力に維持された。約500ワットの電力レベルで誘導原コイルにソースRF電圧を印加することによってプラズマが生成された。5ワットのバイアス電力がカソードペデスタルに加えられた。基板表面は約70℃〜約80℃の温度に維持される。チャンバ壁およびドームは、定常的なエッチ処理状態を維持するために、約70℃まで冷却された。下記のガス流量でフィーチャのエッチングが発生した:
CHF 3sccm、
Cl 40sccm、
 10〜25sccm、
ヘリウム(He) 40sccm。
【0057】
総流量は約100〜約300sccmであり、上記リストの処理ガスでは略100sccmであった。エッチングプロセスは、メタル層にフィーチャを形成するのに十分な時間をかけて実行された。
【0058】
上記例で説明したフォトマスクを、ここで記載した塩化水素酸を有する処理ガスを使用してエッチングしてもよい。この例では、上述のパターニングされた基板はエッチングチャンバのカソードペデスタルの上に置かれ、チャンバの圧力は約15ミリTorr〜約20ミリTorr、例えば約18ミリTorrに維持された。約550ワットの電力レベルで誘導原コイルにソースRF電圧を印加することによってプラズマが生成された。5ワットのバイアス電力がカソードペデスタルに加えられた。基板表面は約80℃の温度に維持される。チャンバ壁およびチャンバドームは、定常エッチ処理条件を維持するために、約70℃に冷却された。下記ガス流量でフィーチャのエッチングが行われた:
HCl 54sccm、
Cl 81sccm、
 15sccm、
ヘリウム(He) 60sccm。
【0059】
総流量は約100〜約300sccmで、上記処理ガスでは約210sccmであった。エッチングプロセスは、メタル層にフィーチャを形成するのに十分な時間をかけて実行された。
【0060】
上記は本発明の好ましい特徴に向けられるが、本発明の他の更なる特徴はその基本的な範囲から逸脱することなく考案可能で、その範囲は先に記載の請求項によって決定される。
【図面の簡単な説明】
【図1】エッチングチャンバの一実施の形態の概略側面図である。
【図2】本発明の一実施の形態による、基板を処理するシーケンスの一実施の形態を図示するフローチャートである。
【図3】A〜Eとも、本発明の一実施の形態のエッチングシーケンスを示す断面図である。
【符号の説明】
10…処理チャンバ、12…チャンバ本体、13…ドーム、14…プラズマゾーン、15…側壁、16…支持部材、17…底部、20…基板、22…ガス分配器、26…誘導原コイル、27…コイル電源、28…電極電源、30…排気システム、32…スロットルバルブ、34…排気ポート、300…基板、310…石英材料、320…メタル層、325,335,345,355…フィーチャ、330…フォトレジスト材料、340…第二のフォトレジスト材料、355…基板表面。

Claims (32)

  1. 基板を処理する方法であって、
    処理チャンバ内の支持部材上に、自身の上にメタル層が形成され約150℃以下の温度に維持される基板を配置するステップと、
    (i)1種以上の水素含有フルオロカーボンガスと(ii)酸素含有ガスと(iii)塩素含有ガスとを有する処理ガスを、前記処理チャンバへ導入するステップと、
    前記処理チャンバに電力を送りプラズマを発生させるステップと、
    メタル層の露出部分をエッチングするステップと
    を有する方法。
  2. 共形な前記メタル層が、クロムを含む請求項1に記載の方法。
  3. 前記1種以上の水素含有フルオロカーボンガスが、xが1〜5の整数、yが1〜8の整数、zが1〜8の整数の式Cを有する請求項1に記載の方法。
  4. 前記1種以上の水素含有フルオロカーボンガスが、CHF、CHF、CH、CHF、C、およびこれらの組合せとからなる群より選択される請求項3に記載の方法。
  5. 前記酸素含有ガスは酸素(O)、一酸化炭素(CO)、二酸化炭素(CO)、およびこれらの組合せとからなる群より選択される、
    請求項1に記載の方法。
  6. 前記塩素含有ガスは塩素(Cl)、塩化水素酸(HCl)、四塩化珪素(SiCl)、三塩化ホウ素(BCl)、およびこれらの組合せとからなる群より選択される請求項1に記載の方法。
  7. 前記処理ガスはヘリウム、アルゴン、キセノン、ネオン、クリプトン、およびこれらの組合せからなる群より選択された不活性ガスを更に有する請求項1に記載の方法。
  8. 前記基板は約50℃  〜約150℃の温度に維持される請求項1に記載方法。
  9. 前記処理チャンバへ電力を送るステップが、約250ワット〜約700ワットのソースRF電力を加えるステップを有する請求項1に記載の方法。
  10. 約50ワット以下のバイアス電力を前記支持部材に加えるステップを更に有する請求項9に記載の方法。
  11. 前記処理チャンバが、約2ミリTorr〜約25ミリTorrの間の圧力に維持される請求項1に記載の方法。
  12. 基板のエッチングの操作が、CHFと、CHFと、CHと、CHFと、Cと、これらの組合せとからなる群より選択された1種以上の水素含有フルオロカーボンガスを処理チャンバへ導入するステップと、
    、CO、CO、およびこれらの組合せからなる群より選択された酸素含有ガスを前記処理チャンバへ導入するステップと、
    Cl、HCl、SiCl、BCl、およびこれらの組合せからなる群より選択された塩素含有ガスを前記処理チャンバへ導入するステップと、
    ヘリウム、アルゴン、キセノン、ネオン、クリプトン、およびこれらの組合せからなる群より選択された不活性ガスを前記処理チャンバへ導入するステップと、
    前記処理チャンバを約2ミリTorr〜約25ミリTorrの圧力に維持するステップと、
    前記基板を約50℃〜約150℃の温度に維持するステップと、
    約250ワット〜約700ワットのソースRF電力を前記処理チャンバへ供給することにより、プラズマを発生させるステップと
    を有する請求項1に記載の方法。
  13. シリコンベース基板上に形成された共形メタル層を有する前記基板を、パターニングされたフォトレジスト材料が前記メタル層上に堆積された状態で、エッチングする方法であって、
    コイルを有する処理チャンバ内の支持部材上に基板を配置するステップと、
    (i)xが1〜5の整数で、yが1〜8の整数で、zが1〜8の整数である式Cを有する1つ以上の水素含有フルオロカーボンガス、(ii)酸素含有ガス、(iii)塩素含有ガス、および(iv)不活性ガス、を有する処理ガスを導入するステップと、
    約700ワット以下のソースRF電力を前記コイルに供給して前記処理チャンバ内にプラズマを発生させるステップと、
    前記メタル層の露出部分をエッチングするステップと
    を有する方法。
  14. 前記メタル層が、クロムを有する請求項13に記載の方法。
  15. 前記1つ以上の水素含有フルオロカーボンガスが、CHF、CHF、CH、CHF、C、およびこれらの組合せからなる群より選択される請求項13に記載の方法。
  16. 前記基板が約50℃〜約150℃の間の温度に維持される請求項13に記載の方法。
  17. 前記処理チャンバへ電力を送るステップが、約250ワット〜約700ワットのレベルのソースRF電力をコイルに加えるステップを有する請求項13に記載の方法。
  18. 約50ワット以下のバイアス電力を前記支持部材に加えるステップを更に有する請求項17に記載の方法。
  19. 前記処理チャンバが、約2ミリTorr〜約25ミリTorrの間の圧力に維持される請求項13に記載の方法。
  20. 前記処理チャンバが、約2ミリTorr〜約25ミリTorrの圧力に維持され、前記基板が、約50℃〜約150℃の温度に維持され、前記ソースRF電力が、約250ワット〜約700ワットで処理チャンバへ送られる請求項13に記載の方法。
  21. 基板上のメタル層を選択的にエッチングする方法であって、
    処理チャンバ内に、約150℃以下の温度に維持される基板を配置するステップと、
    (i)CHF、CHF、CH、CHF、C、およびこれらの組合せからなる群より選択された1種以上の水素含有フルオロカーボンガス、(ii)酸素、(iii)塩素、および(iii)ヘリウム、を有する処理ガスを導入するステップと、
    約700ワット以下のソースRF電力をコイルに供給することによって前記処理チャンバへ電力を送ってプラズマを発生させるとともに、約50ワット以下のバイアス電力を支持部材に供給するステップと、
    前記メタル層の露出部分をエッチングするステップと
    を有する方法。
  22. 前記基板が約50℃〜約150℃の間の温度に維持される請求項21に記載方法。
  23. 前記処理チャンバへ電力を送るステップが、約250ワット〜約700ワットのレベルのソースRF電力を加えるステップを有する請求項21に記載の方法。
  24. 前記バイアス電力が、約5ワット〜約40ワットの電力レベルで加えられる請求項21に記載の方法。
  25. 前記処理チャンバが、約2ミリTorr〜約25ミリTorrの圧力に維持される請求項21に記載の方法。
  26. 前記処理チャンバが、約2ミリTorr〜約25ミリTorrの圧力に維持され、前記基板が、約50℃〜約150℃の温度に維持され、前記ソースRF電力が、約250ワット  〜約700ワットで処理チャンバに送られ、バイアス電力が、約5ワット〜約40ワットで前記支持部材に送られる請求項13に記載の方法。
  27. 前記メタル層が、クロムを有する請求項20に記載の方法。
  28. 基板を処理する方法であって、
    処理チャンバ内の支持部材上に、自身の上にメタル層が形成され約150℃以下の温度に維持される基板を配置するステップと、
    (i)塩化水素酸と(ii)酸素含有ガスと(iii)塩素含有ガスとを有する処理ガスを、処理チャンバへ導入するステップと、
    約700ワット以下のソースRF電力を供給して処理チャンバに電力を送るステップと、
    前記メタル層の露出部分をエッチングするステップと
    を有する方法。
  29. 共形な前記メタル層が、クロムを有する請求項26に記載の方法。
  30. 前記処理ガスが、ヘリウムと、アルゴンと、キセノンと、ネオンと、クリプトンと、これらの組合せとからなる群より選択された不活性ガスを更に有する請求項26に記載の方法。
  31. 基板をエッチングするステップが、
    塩化水素酸を前記処理チャンバへ導入するステップと、
    と、COと、COと、これらの組合せとからなる群より選択された酸素含有ガスを前記処理チャンバへ導入するステップと、
    Clと、HClと、SiClと、BClと、これらの組合せとからなる群より選択された塩素含有ガスを前記処理チャンバへ導入するステップと、
    ヘリウムと、アルゴンと、キセノンと、ネオンと、クリプトンと、これらの組合せとからなる群より選択された不活性ガスを前記処理チャンバへ導入するステップと、
    前記処理チャンバを、約2ミリTorr〜約25ミリTorrの圧力に維持するステップと、
    前記基板を、約50℃〜約150℃の温度に維持するステップと、
    約250ワット〜約700ワットのソースRF電力を、前記処理チャンバに供給して、プラズマを発生させるステップと
    を有する請求項26に記載の方法。
  32. 約50ワット以下のバイアス電力を前記支持部材に加えるステップを更に有する請求項29に記載の方法。
JP2002511020A 2000-06-15 2001-06-15 基板上のメタル層をエッチングする方法および装置 Withdrawn JP2004503829A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21167000P 2000-06-15 2000-06-15
PCT/US2001/019282 WO2001096955A2 (en) 2000-06-15 2001-06-15 A method and apparatus for etching metal layers on substrates

Publications (1)

Publication Number Publication Date
JP2004503829A true JP2004503829A (ja) 2004-02-05

Family

ID=22787882

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002511020A Withdrawn JP2004503829A (ja) 2000-06-15 2001-06-15 基板上のメタル層をエッチングする方法および装置

Country Status (3)

Country Link
EP (1) EP1290495A2 (ja)
JP (1) JP2004503829A (ja)
WO (1) WO2001096955A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343769A (ja) * 2001-05-16 2002-11-29 Shin Etsu Chem Co Ltd クロム系フォトマスクの形成方法
JP2006209128A (ja) * 2005-01-27 2006-08-10 Applied Materials Inc 保護マスクを使用したホトマスクプラズマエッチング方法
JP2006243712A (ja) * 2005-01-08 2006-09-14 Applied Materials Inc 石英フォトマスクプラズマエッチングのための方法
JP2008116949A (ja) * 2006-10-30 2008-05-22 Applied Materials Inc マスクエッチングプロセス
KR101196617B1 (ko) * 2005-01-27 2012-11-05 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
WO2004086143A2 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Multi-step process for etching photomasks
WO2004093178A1 (ja) 2003-04-11 2004-10-28 Hoya Corporation クロム系薄膜のエッチング方法及びフォトマスクの製造方法
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
CN101054673B (zh) * 2006-04-14 2014-04-30 应用材料公司 利用保护性罩幕的光罩等离子体蚀刻法
US7955516B2 (en) * 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
CN111399348B (zh) * 2020-04-17 2023-03-31 淮北师范大学 一种抑制光刻胶图形结构坍塌与黏连的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5287034A (en) * 1976-01-16 1977-07-20 Fuji Photo Film Co Ltd Image formation
JPS60219748A (ja) * 1984-04-16 1985-11-02 Mitsubishi Electric Corp ドライエツチングによるパタ−ンの形成方法
JPS62181433A (ja) * 1986-02-04 1987-08-08 Mitsubishi Electric Corp ドライエッチング方法
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343769A (ja) * 2001-05-16 2002-11-29 Shin Etsu Chem Co Ltd クロム系フォトマスクの形成方法
JP2006243712A (ja) * 2005-01-08 2006-09-14 Applied Materials Inc 石英フォトマスクプラズマエッチングのための方法
JP2006209128A (ja) * 2005-01-27 2006-08-10 Applied Materials Inc 保護マスクを使用したホトマスクプラズマエッチング方法
KR101196617B1 (ko) * 2005-01-27 2012-11-05 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법
JP2008116949A (ja) * 2006-10-30 2008-05-22 Applied Materials Inc マスクエッチングプロセス

Also Published As

Publication number Publication date
WO2001096955A3 (en) 2002-11-28
WO2001096955A2 (en) 2001-12-20
EP1290495A2 (en) 2003-03-12

Similar Documents

Publication Publication Date Title
US7521000B2 (en) Process for etching photomasks
US7371485B2 (en) Multi-step process for etching photomasks
TWI391987B (zh) 用於處理光微影倍縮光罩的方法
US20040072081A1 (en) Methods for etching photolithographic reticles
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US20060163203A1 (en) Methods and apparatus for etching metal layers on substrates
KR100944846B1 (ko) 마스크 에칭 프로세스
JP2004503829A (ja) 基板上のメタル層をエッチングする方法および装置
JP2006215552A5 (ja)
US6534417B2 (en) Method and apparatus for etching photomasks
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20040000535A1 (en) Process for etching photomasks
US7115523B2 (en) Method and apparatus for etching photomasks

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080509

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100611