JP2008116949A - マスクエッチングプロセス - Google Patents

マスクエッチングプロセス Download PDF

Info

Publication number
JP2008116949A
JP2008116949A JP2007280804A JP2007280804A JP2008116949A JP 2008116949 A JP2008116949 A JP 2008116949A JP 2007280804 A JP2007280804 A JP 2007280804A JP 2007280804 A JP2007280804 A JP 2007280804A JP 2008116949 A JP2008116949 A JP 2008116949A
Authority
JP
Japan
Prior art keywords
introducing
processing
sccm
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007280804A
Other languages
English (en)
Other versions
JP2008116949A5 (ja
JP5484666B2 (ja
Inventor
Madhavi R Chandrachood
アール チャンドラチュッド マドハビ
Amitabh Sabharwal
サバハルワル アミタブフ
Toi Yue Becky Leung
ユエ ベッキー レウング トイ
Michael Grimbergen
グリムバーゲン マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008116949A publication Critical patent/JP2008116949A/ja
Publication of JP2008116949A5 publication Critical patent/JP2008116949A5/ja
Application granted granted Critical
Publication of JP5484666B2 publication Critical patent/JP5484666B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

【課題】フォトリソグラフィーレチクル等の基板上に配置された金属層をエッチングする方法及び装置を提供する。
【解決手段】一態様において、処理チャンバにおいて、光学的に透明な材料上に配置された金属フォトマスク層を有する基板を配置する工程と、酸素含有ガスと、塩素含有ガスと、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つと、任意で無塩素ハロゲン含有ガス及び/又は不活性ガスとを含む処理ガスを、処理チャンバに導入する工程と、処理チャンバにおいて、処理ガスのプラズマを生成する工程と、基板上に配置された金属層の露出した部分をエッチングする工程と含む基板を処理する方法が提供される。
【選択図】図2

Description

発明の背景
(発明の分野)
本発明は、集積回路の製造、及び集積回路の製造に有用なフォトリソグラフィーレチクルの製造に関する。
(関連技術の背景)
半導体デバイスジオメトリは、かかるデバイスが数十年前にはじめて導入されて以来、サイズが大幅に減少している。それ以来、集積回路は、一般的に、2年/半分サイズルール(ムーアの法則と呼ばれることが多い)に従っている。すなわち、チップ上のデバイスの数が2年毎に倍になるということである。現在の製造プラントは、日常的に、0.15μm、更には0.13μmのフィーチャーサイズを有するデバイスを製造しており、将来のプラントでは、これより小さいジオメトリのデバイスを直ぐに製造するようになるであろう。
回路密度が増大することにより、半導体デバイスを製造するのに用いるプロセスへの要求が増している。例えば、回路密度が増大するにつれて、ビア、コンタクト及びその他フィーチャー並びにそれらの間の誘電性材料の幅がサブミクロンの寸法まで減少しているが、誘電性層の厚さは実質的に一定のままである。従って、フィーチャーの高アスペクト比、即ち、幅で除算した高さが増大する。高アスペクト比のフィーチャーの信頼性のある形成が、サブミクロン技術の成功にとって、そして回路密度及び個々の基板の品質を増大する継続的な取り組みにとって重要である。
高アスペクト比のフィーチャーは、従来、基板表面をパターン化して、フィーチャーの寸法を画定してから、基板をエッチングして、材料を除去し、フィーチャーを画定することにより形成されている。高さ対幅の所望の比率の高アスペクト比のフィーチャーを形成するには、フィーチャーの寸法を、特定のパラメータ内で形成する必要がある。このパラメータは、一般的に、フィーチャーの限界寸法と定義される。従って、所望の限界寸法の高アスペクト比のフィーチャーの信頼性のある形成には、正確なパターン化及び続く基板のエッチングが必要とされる。
フォトリソグラフィーは、基板表面に正確なパターンを形成するのに用いる技術であり、次に、パターン化基板表面をエッチングして、所望のデバイス又はフィーチャーを形成する。フォトリソグラフィー技術は、光パターン及び基板表面に堆積したレジストパターンを用いて、エッチングプロセスの前に基板表面に正確なパターンを現像するものである。従来のフォトリソグラフィープロセスにおいて、レジストは、エッチングすべき層に適用され、コンタクト、ビア又は相互接続等の層にエッチングすべきフィーチャーは、フォトマスク層が堆積したフォトリソグラフィーレチクルを通して光のパターンにレジストを露光することにより画定される。フォトマスク層は、フィーチャーの所望の構成に対応している。例えば、光源発光紫外(UV)線又は低X線光を用いて、レジストを露光し、レジストの組成を変える。通常、露光したレジスト材料は、化学プロセスにより除去されて、下にある基板材料を露出する。露出した下にある基板材料を、次に、エッチングして、基板表面にフィーチャーを形成する。一方、残ったレジスト材料は、露出していない下にある基板材料の保護コーティングとして残る。
バイナリフォトリソグラフィーレチクルは、一般的に、基板表面に配置された金属の不透明な遮光層、又は、一般的には、クロムのフォトマスクを有する水晶(即ち、二酸化ケイ素、SiO)等の光学的に透明なケイ素系材料でできた基板を含む。遮光層はパターン化されて、基板に転写すべきフィーチャーに対応させる。バイナリフォトリソグラフィーレチクルは、まず、光学的に透明なケイ素系材料を含む基板上に薄金属層を堆積させてから、薄金属層にレジスト層を堆積することにより製造される。従来のレーザー又は電子ビームパターン化装置を用いて、レジストをパターン化して、金属層に転写すべき限界寸法を画定する。金属層をエッチングして、パターン化レジストにより保護されていない金属材料を除去することによって、下にある光学的に透明な材料を露出して、パターン化フォトマスク層を形成する。フォトマスク層によって、正確なパターンを通過して光が基板表面に達する。
ウェットエッチング等、従来のエッチングプロセスは、等方的にエッチングする傾向があり、パターン化レジスト下の金属層においてアンダーカット現象となる恐れがある。アンダーカット現象は、均一な間隔ではなく、望ましい真っ直ぐな垂直側壁を有していないパターン化フィーチャーをフォトマスク上に作成する可能性があるため、フィーチャーの限界寸法が失われる。更に、フィーチャーの等方性エッチングは、フィーチャーの側壁を高アスペクト比でオーバーエッチングする恐れがあり、その結果、フィーチャーの限界寸法の喪失となる。金属層に、所望の限界寸法でなく形成されたフィーチャーは、そこを通過する光に悪影響を及ぼして、後のフォトリソグラフィープロセスにおけるフォトマスクによる望ましいパターン化とはならない可能性がある。
ドライエッチング処理又はドライエッチングとして知られているプラズマエッチング処理は、ウェットエッチングプロセスよりも異方性のエッチングを行う。ドライエッチングプロセスは、アンダーカットの生成が少なくなり、より真っ直ぐな側壁及びより平坦な底部を備えたフォトマスクフィーチャーの限界寸法の保持を改善することが分かっている。しかしながら、ドライエッチングは、金属層の限界寸法を定めるのに用いるレジスト材料に形成された開口部の側壁又はパターンをオーバーエッチングしたり、不正確にエッチングする恐れがある。レジスト材料の過剰な側部除去の結果、パターン化されたレジストフィーチャーの限界寸法が失われて、パターン化されたレジストにより定められる金属層に形成されたフィーチャーの限界寸法の喪失につながる。更に、不正確なエッチングだと、必要な限界寸法を与えるだけ十分にフィーチャーをエッチングできない恐れがある。限界寸法までフィーチャーを十分にエッチングできないことは、限界寸法の「増大」と呼ばれている。金属層における限界寸法の喪失又は増大の程度は、「エッチングバイアス」又は「CDバイアス」と呼ばれている。エッチングバイアスは、0.14μmのフィーチャーを基板表面に形成するのに用いるフォトマスクパターンにおいて120nmもの大きさとなり得る。
金属層に形成されたパターンの限界寸法の喪失又は増大は、そこを通過する光に悪影響を及ぼして、フォトリソグラフィーレチクルによりパターン化された基板に、数多くのパターン化欠陥及び後のエッチング欠陥を作成する可能性がある。フォトマスクの限界寸法の喪失又は増大により、サブミクロンフィーチャーを高アスペクト比でエッチングするのにフォトリソグラフィー性能が不十分となる可能性があり、限界寸法の喪失又は増大がひどい場合には、フォトリソグラフィーレチクル又は後にエッチングされたデバイスの不具合となる。
従って、レチクル等の基板上の金属層をエッチングして、金属層に所望の限界寸法を備えたパターンを作成するプロセス及び化学が尚必要とされている。
本発明の態様は、概して、フォトリソグラフィーレチクルにフォトマスク層をエッチングする方法及び関連の化学を提供する。一態様において、処理チャンバにおいてフォトリソグラフィーレチクルを処理する方法が提供される。レチクルは、光学的に透明な基板上に形成された金属フォトマスク層と、金属フォトマスク層上に堆積したパターン化レジスト材料とを含む。レチクルは、酸素含有ガスと、塩素含有ガスと、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つと、任意で無塩素ハロゲン含有ガス及び/又は不活性ガスとを含む処理ガスを導入することにより処理される。電力が、処理チャンバに分配されて、処理ガスからプラズマが形成される。続いて、金属フォトマスク層の露出部分が、プラズマを用いてエッチングされる。
他の態様において、処理チャンバにおいて、サポート部材上のフォトリソグラフィーレチクルを処理する方法が提供される。レチクルは、光学的に透明なケイ素系材料上に形成されたクロム系フォトマスク層と、クロム系フォトマスク層上に堆積したパターン化レジスト材料とを含む。塩素ガスと、酸素ガスと、CHF、SF、C又はNHのうち少なくとも1つと、任意で臭化水素とを含む処理ガスを、約1ミリトル〜約40ミリトルのチャンバ圧で導入することによりレチクルは処理される。約200〜約1500ワットの電源を、処理チャンバ近傍に配置されたコイルに分配して、処理ガスからプラズマを生成する。約5〜約200ワットのバイアス電力をサポート部材に供給する。続いて、クロム系フォトマスク層の露出部分を、クロム系フォトマスク層対レジスト材料の除去レート比約1:1以上で、プラズマを用いてエッチングする。
実施形態の詳細な説明
本発明の態様を、誘導結合プラズマエッチングチャンバを参照して以下に説明する。好適な誘導結合プラズマエッチングチャンバとしては、カリフォルニア州、ヘイワード(ETEC, Hayward,California)のETECより入手可能なETECテトラ(Tetra)I(商標名)フォトマスクエッチングチャンバ及びETECテトラ(Tetra)II(商標名)フォトマスクエッチングチャンバ、又は任意で、カリフォルニア州、サンタクララ(Santa Clara,California)のアプライドマテリアルズ社(Applied Materials,Inc.,)より入手可能な分離プラズマ源(DPS I(商標名)、DPS II(商標名)及びDPS Plus(商標名))処理チャンバが挙げられる。
その他の処理チャンバを用いて、本発明のプロセスを実施してもよい。例えば、容量結合パラレルプレートチャンバ、磁気強化イオンエッチングチャンバ及び異なる設計の誘導結合プラズマエッチングチャンバが挙げられる。かかる好適な処理チャンバの例は、1999年6月3日出願の米国特許出願第09/325,026号に開示されている。処理は、ETECテトラ(Tetra)(商標名)フォトマスクエッチングチャンバで有利に実施されるが、処理チャンバの説明は例示であり、本発明の態様の範囲を限定するとは理解又は解釈されないものとする。本発明は、その他のメーカーのものをはじめとして、他の処理チャンバで有益に実施されることも意図されている。
図1は、概して、基板台座124を有する処理チャンバ本体102と、コントローラ146とを含む処理チャンバ100の一実施形態の概略断面図である。チャンバ本体102は、実質的に平坦な誘電性シーリング108をサポートする導電性壁104を有する。処理チャンバ100の他の実施形態は、その他のタイプのシーリング、例えば、ドーム形シーリングを有していてもよい。アンテナ110が、シーリング108の上に配置されている。アンテナ110は、選択的に制御してよい1つ以上の誘導コイル要素を含む(図1には2つの同軸要素110aと110bが示されている)。アンテナ110は、第1の整合ネットワーク114を通して、プラズマ電源112に連結されている。プラズマ電源112は、約50kHz〜約13.56MHzの範囲の調整可能な周波数で、一般的に、約3000ワット(W)まで出すことができる。
基板台座(カソード)124は、第2の整合ネットワーク142を通して、バイアス電源140に連結されている。バイアス電源140は、約1〜約10kHzの範囲の調整可能なパルス周波数で約ゼロ〜約600Wを出す。バイアス源140は、パルスRF出力を出す。或いは、バイアス源140は、パルスDC出力を出してもよい。源140はまた、一定DC及び/又はRF出力を出してもよいものと考えられる。
一実施形態において、基板サポート台座124は、静電チャック160を含む。静電チャック160は、少なくとも1つのクランプ電極132を含み、チャック電源166により制御される。変形実施形態において、基板台座124は、サセプタクランプリング、真空チャック、メカニカルチャック等といった基板保持機構を含んでいてもよい。
ガスパネル120が、処理チャンバ100に連結されて、処理及び/又はその他ガスをプロセスチャンバ本体102の内部に提供する。図1に示す実施形態において、ガスパネル120は、チャンバ本体102の側壁104のチャネル118に形成された1つ以上の入口116に連結されている。1つ以上の入口116が、他の位置、例えば、処理チャンバ100のシーリング108に提供されていてもよいものと考えられる。
処理チャンバ100の圧力は、スロットルバルブ162と真空ポンプ164を用いて制御される。真空ポンプ164及びスロットルバルブ162は、約1〜約20ミリトルの範囲にチャンバ圧を維持することができる。
壁104の温度は、壁104を通る液体含有管(図示せず)を用いて制御してよい。壁温度は、通常、摂氏約65度に維持される。一般的に、チャンバ壁104は、金属(例えば、アルミニウム、ステンレス鋼等)から形成されていて、電気接地106に連結されている。処理チャンバ100はまた、プロセス制御、内部診断、終点検出等の従来のシステムも含む。かかるシステムは、サポートシステム154として集合的に示されている。
レチクルアダプタ182を用いて、基板(レチクルやその他ワークピース等)122を基板サポート台座124に固定する。レチクルアダプタ182は、通常、台座124の上表面(例えば、静電チャック160)をカバーするようギザのついた下部184と、基板122を保持するサイズ及び形状とした開口部188を有する上部186とを含む。開口部188は、通常、台座124に対して実質的に中心にある。アダプタ182は、通常、ポリイミドセラミック又は水晶等、単体のエッチング抵抗性のある耐熱材料から形成されている。好適なレチクルアダプタは、2001年6月26日発行の米国特許第6,251,217号に開示されている。エッジリング126で、アダプタ182をカバーし、且つ/又は台座124に固定してもよい。
リフト機構138を用いてアダプタ182を上げ下げし、基板サポート台座124の基板122を昇降する。通常、リフト機構138は、各合わせ穴136を通して動く複数のリフトピン(1つのリフトピン130が図示されている)を含む。
動作中、基板122の温度は、基板台座124の温度を安定化することにより制御する。一実施形態において、基板サポート台座124は、ヒータ144と、任意のヒートシンク128とを含む。ヒータ144は、熱伝導流体がその中を流れるように構成された1つ以上の流体管であってよい。他の実施形態において、ヒータ144は、少なくとも1つの加熱要素134を含んでおり、これは、ヒータ電源168により調節される。任意で、ガス源156からの裏側ガス(例えば、ヘリウム(He))が、ガス管158を介して、基板122下の台座表面に形成されたチャネルへ提供される。裏側ガスを用いて、台座124と基板122の間の熱伝達を促す。処理中、台座124は、埋め込みヒータ144により定常状態温度まで加熱され、ヘリウム裏側ガスと組み合わされて、基板122の均一な加熱を促す。
コントローラ146は、中央演算処理装置(CPU)150、メモリ148及びCPU150のサポート回路152を含み、処理チャンバ100のコンポーネント、及びエッチングプロセス自体の制御を促す。これについては詳細を後述してある。コントローラ146は、様々なチャンバ及びサブプロセッサを制御するための工業環境に用いることのできる汎用コンピュータプロセッサの形態にあってよい。CPU150のメモリ148は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピー(商標名)ディスク、ハードディスク、或いはデジタル保存、ローカル又はリモートのその他の形態等、容易に入手可能なメモリのうち1つ以上であってよい。サポート回路152は、従来のやり方でプロセッサをサポートするCPU150に連結されている。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路及びサブシステム等を含む。本発明の方法は、通常、ソフトウェアルーチンとして、メモリ148又はCPU150にアクセス可能なその他コンピュータ読取り可能な媒体に保存される。或いは、かかるソフトウェアルーチンはまた、CPU150により制御されるハードウェアから遠隔配置された第2のCPU(図示せず)により保存及び/又は実行されてもよい。
以下のプロセスの説明は、本明細書に記載した処理ガスを用いて基板をエッチングする一実施形態を示しているが、本発明では、300mm基板処理用のフォトリソグラフィーレチクル等、異なる基板サイズについて、異なるエッチングチャンバ等の異なる装置でこのプロセスを行うのに、本明細書に記載した範囲外の処理パラメータを用いることが意図されている。
(例示のエッチングプロセス)
以下の説明は、フォトリソグラフィーレチクル製造においてフォトマスクとして、クロム及び酸窒化クロム等の金属層をエッチングするプロセスシーケンスの一実施形態を示しているが、エッチングガスを用いて、半導体及びフォトリソグラフィーレチクル製造において基板上に形成されたその他の材料層をエッチングしてもよいものと考えられる。
通常、フォトリソグラフィーレチクルは、光学的に透明な基板に堆積した、フォトマスクとして知られている不透明層を含む。不透明層は、金属層、例えば、クロム、又は、フォトマスクとして用いるのに好適な業界に知られた、又は知られていない他の材料を含む。例えば、本発明では、不透明層が非金属誘電性材料を含んでいてもよいものと考えられる。基板122の光学的に透明な材料は、これらに限られるものではないが、約300ナノメートル(nm)以下の波長を有する光を通す、例えば、248nm〜193nmの波長を有する紫外線を通す材料を含むものとして広く定義される。
図2は、エッチングプロセス200の1つのプロセスシーケンスの一実施形態のフローチャートである。フローチャートは例示の目的で提供されており、本発明のいずれの態様の範囲も限定するとは解釈されないものとする。図3A〜3Cに、フォトマスク形成プロセス中のポイントでのフォトリソグラフィーレチクルの構成を示し、図2で上述したプロセスを更に示す。
一般的に、光学的に透明な材料310、例えば、光学的品質の水晶、融解シリカ材料、ケイ化モリブデン(MoSi)、酸窒化モリブデンケイ素(MoSi)、フッ化カルシウム、アルミナ、サファイヤ又はこれらの組み合わせを含む基板122を、ステップ210で、図1の処理チャンバ100のような処理チャンバに提供する。
基板122は、次に、図3Aに示すステップ220で、基板材料310上に配置された、一般的にクロムを含む、金属フォトマスク層として、不透明金属層320を堆積することにより処理される。クロム層は、物理蒸着(PVD)又は化学蒸着(CVD)技術による等、業界に公知の従来の方法により堆積してよい。金属層320は、一般的に、約50〜約100nmの厚さで堆積される。しかしながら、金属層320の厚さは、メーカーの要件及び基板又は金属層の材料の組成に基づいて異なってよい。
任意で、反射防止コーティング(ARC又はARC層)を、堆積金属層320に形成したり、この層の一部を構成してもよい。ARC層は、不透明層に形成されるべきフィーチャーをパターン化するのにフォトリソグラフィー精度を改善するものと考えられる。ARC層は、非金属性汚染物質又は不純物を組み込む金属層であってよく、例えば、酸窒化クロム等の金属酸窒化層を形成する。酸窒化クロムは、金属層の堆積中に、又は金属層を、酸化及び窒化環境等の好適な雰囲気に露出することにより形成してもよい。或いは、酸窒化クロム層は、物理蒸着(PVD)又は化学蒸着(CVD)技術による等、業界に公知の従来の方法により堆積してもよい。金属酸窒化層は、金属層320の合計厚さの上部25パーセントまでを構成していてよい。
任意のARC層は、一般的に、約10nm〜約15nmの厚さで形成される。しかしながら、層の厚さは、メーカーの要件及び基板又は金属層の材料の組成に基づいて異なってもよく、元の金属層320の厚さの上部30パーセントといったように、堆積材料の上部表面で主に集中していてよい。酸窒化クロムフィルムは、クロムフィルムよりも酸素ラジカルによるエッチングに、より感度があると考えられている。処理ガス中減少量の酸素を用いて、残りのクロム材料のバルクをエッチングするのに比べて、効率的に酸窒化クロム表面をエッチングすることができる。
金属層320の開口部又はパターンの寸法が、レジスト材料330を堆積し、パターンエッチングすることによりパターン化されて、図3Bに示すステップ230で金属層320を露出する。フォトリソグラフィーレチクル製造に用いられるレジスト材料は、通常、低温レジスト材料であり、本明細書においては、摂氏約250度(℃)より高い温度で熱分解する材料と定義され、一例としては、特に、HOYA株式会社(Hoya Corporation)製「ZEP」又は本明細書に記載されたその他が挙げられる。レジスト層330は、約200nm〜約600nmの厚さまで金属層320に堆積させてよい。
レジスト材料は、フォトレジスト材料であってもよく、これは、レーザーパターン化デバイス、又は、電子ビームエミッタ等のその他放射エネルギーパターン化デバイスを用いて、光学的にパターン化して、パターン325を形成し、これを用いて、金属層320中に形成されるべきフィーチャー定義の寸法を画定する。
不透明な金属層を、エッチングして、所望の限界寸法で、フィーチャーを有するフォトマスク層を作成する。次に、基板122を、上述した処理チャンバ100等のエッチングチャンバへ移して、金属層320をエッチングする。図3Cに示す通り、ステップ240で、金属層をエッチングして、下にある光学的に透明な基板材料、そして、任意で、ARC層を露出することにより、開口部及びパターン335を金属層320に形成する。
不透明金属層320の露出した部分のエッチングは、電源及び/又はバイアス電力を処理チャンバ100に供給することにより、処理ガスのプラズマを生成することにより成される。処理ガスを用いて、金属層をエッチングしてよい。
酸素含有ガスは、酸素(O)、一酸化炭素(CO)又は二酸化炭素(CO)及びこれらの組み合わせのうち1つ以上を含む群から選択される。一実施形態において、酸素含有ガスは酸素である。酸素含有ガスは、エッチングラジカルの源を提供する。一酸化炭素(CO)及び酸化炭素(CO)ガスを選択すると、パッシベーティングポリマー堆積物を形成する材料の源が提供されて、エッチングバイアスが改善される。
塩素含有ガスは、塩素ガス(Cl)、四塩化炭素(CCl)、塩化水素(HCl)及びこれらの組み合わせのうち1つ以上を含む群から選択される。一実施形態において、塩素含有ガスはClである。塩素含有ガスを用いて、高反応性ラジカルを供給し、金属層をエッチングする。塩素含有ガスは、四塩化炭素(CCl)ガス等のエッチングラジカル及び成分の源を提供し、パッシベーティングポリマー堆積物を形成する材料の源が提供されて、エッチングバイアスが改善される。トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)及びアンモニア(NH)等のその他塩素含有ガスを選択して、フォトレジストに対するクロムのエッチング選択性を増大し、エッチングバイアスを減少させてもよい。
任意で、無塩素ハロゲン含有ガスを含めてもよい。無塩素ハロゲン含有ガスは、臭化水素(HBr)、ヨウ化水素(HI)及びこれらの組み合わせのうち1つ以上を含む群から選択してよい。一実施形態において、無塩素ハロゲン含有ガスは、HBrである。臭化水素はまた、水溶液から処理へ分配したり、臭化水素酸として水性成分を有していてもよい。無塩素ハロゲン含有ガスを用いて、金属層をエッチングする反応性ラジカルと、水素の両方を供給して、フォトレジスト及び金属エッチングレートを減少し、フォトレジスト及び金属側壁をパッシベートして、オーバーエッチングを最小とし、所望の限界寸法を保って、エッチングバイアスを改善してもよい。
塩素含有ガス及び無塩素ハロゲン含有ガスは、提供される場合、塩素含有ガス対無塩素ハロゲン含有ガスのモル比が、約10:1〜約0.5:1、例えば、約10:1〜約0.5:1の塩素対臭化水素モル比で提供される。
処理ガスはまた、不活性ガスを含んでいてもよく、処理ガスを含むプラズマの一部としてイオン化されると、スパッタリング種となって、フィーチャーのエッチングレートを増加する。プラズマの一部としての不活性ガスの存在はまた、活性処理ガスの分離も促す。従って、不活性ガスによって、ラジカルエッチングレートの制御が補助される。エッチングレートは、中心が早く、又は、中心が遅くなるように制御してもよい。不活性ガスとしては、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)及びこれらの組み合わせが例示される。このうち、アルゴンとヘリウムが、通常、用いられる。不活性ガスは、一般的に、プロセスの合計ガスフローの約5体積パーセント〜約40体積パーセント、例えば、約15体積パーセント〜約25体積パーセントを構成する。エッチング処理ガスを導入する前のプラズマを開始するプラズマ打ち込みのために、不活性ガスは、用いる処理ガスの約75体積パーセント〜約100体積パーセントを構成してよい。
不活性ガスを含む処理ガスの合計流量を、約100sccm〜約700sccmの流量で導入して、エッチングチャンバにおいて、150mm×150mm平方のフォトリソグラフィーレチクルをエッチングしてよい。酸素含有ガスは、約5sccm〜約200sccm、例えば、約20〜50sccmの流量で処理チャンバ100に導入してよい。塩素含有ガスは、約25sccm〜約1000sccm、例えば、約150〜300sccmの流量で処理チャンバ100に導入してよい。任意で無塩素ハロゲン含有ガスと共に、CHF、SF、C又はNHのうち少なくとも1つを、約1sccm〜約500sccm、例えば、約1〜5sccmの流量で処理チャンバ100に導入してよい。不活性ガスを利用するときは、約5sccm〜約100sccm、例えば、20〜45sccmの流量を与えてよい。
処理ガスの個々及び合計のガスフローは、処理チャンバ100のサイズ、処理されている基板122のサイズ、及びオペレータが望む特定のエッチングプロフィール等、数多くの処理因子に基づいて異なってよい。
通常、約15000W以下のRF電源レベルを、誘導コイルに印加して、エッチングプロセス中、処理ガスのプラズマを生成及び維持する。基板表面をエッチングするのに処理ガスの十分なプラズマを提供するには、約200W〜約1500W、例えば、約300〜350Wの電力レベルが観察されている。示したRF電源レベルは、十分なエッチングラジカル及び重合ラジカルを処理ガスから生成することが観察されており、従来技術の金属エッチングプロセスに比べて、約150℃以下の基板温度について、十分な低電力レベルを提供しながら、基板上に配置された露出した金属層をエッチングする。
通常、約200ワット未満のバイアス電力を基板122に印加して、基板122表面に対して、エッチングラジカルの方向性を増大する。50W未満のバイアス電力をエッチングプロセスに用いてもよい。エッチングプロセス中に、エッチングラジカルの十分な方向性を提供するには、約15W〜20Wの間のバイアスが観察されている。
基板表面の露出した材料は、処理ガスのプラズマにより、エッチングすべき材料の量に応じて、約15秒〜約400秒間、例えば、約30秒〜約350秒間エッチングしてよい。ARC層は、第1の処理ガスのプラズマに、合計エッチング時間に加えて、又はそれに含めて、約5秒〜約180秒間、例えば、約30秒〜約60秒間、露光してよい。
通常、処理チャンバ圧は、約1ミリトル〜約40ミリトル、好ましくは約3ミリトル〜約8ミリトルの間に維持され、エッチングプロセス中、維持される。
基板122はまた、処理中、約150℃以下の温度に維持される。約150℃以下の基板温度だと、本明細書に記載した処理ガスによるフォトリソグラフィーレチクル製造プロセス中、基板に堆積したレジスト材料等の材料の熱分解が最小になる。約20℃〜約150℃、例えば、約20℃〜約50℃の基板温度を用いて、基板表面に堆積した材料の熱分解を最小として、フォトマスクフィーチャーをエッチングしてもよい。更に、処理チャンバ100の側壁104は、約70℃未満の温度に維持してよく、ドームは、好ましくは、摂氏約80度未満の温度に維持して、一定した処理条件を維持し、処理チャンバ表面のポリマー形成を最小にする。
エッチングプロセスの例を以下に説明する。基板122をサポート部材124に配置し、本明細書に記載した処理ガスをチャンバ100に導入し、プラズマを生成又は維持して、酸素ガス(O)と、塩素ガス(Cl)と、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)及び任意で臭化水素(HBr)の追加ガスのうち少なくとも1つと、及び/又はアルゴン(Ar)やヘリウム(He)等の不活性ガスとを、約100sccm〜約200sccmの流量で、導入し、処理ガスからプラズマを生成することにより金属をエッチングする。酸素ガスは、約5sccm〜約200sccmの流量で、処理チャンバ100に導入し、塩素ガスは、約25sccm〜約1000sccmの流量で、処理チャンバ100に導入し、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つ、及び任意で臭化水素(HBr)ガスの追加ガスは、約1sccm〜約50sccmの流量で、処理チャンバ100に導入してよい。不活性ガス、例えば、アルゴンは、処理チャンバ100に、約5sccm〜約100sccmの流量で、導入する。提供される場合、塩素ガス対臭化水素の比率は、約10:1〜約0.5:1である。
プラズマは、約200W〜約1500W、例えば、300〜350WのRF電源を誘導コイルに印加することにより生成されて、エッチングプロセス中、処理ガスのプラズマを生成し、保持する。約25W〜約200W、例えば、約15〜20Wのバイアス電力を、基板サポート124に印加する。エッチングプロセスは、約90秒〜約400秒、例えば、約350秒実施する。金属層320エッチングプロセスの終点は、光学発光終点制御によりモニターしてもよい。
通常、処理チャンバ圧は、約1ミリトル〜約40ミリトル、例えば、約3ミリトル、約5ミリトル、又は約8ミリトルに維持される。基板温度は、エッチングプロセス中、約20℃〜約100℃である。更に、処理チャンバ100の側壁104は、約70℃未満の温度に維持され、ドームは、約80℃未満の温度に維持される。上述した金属エッチングプロセスは、通常、金属層対レジスト約3:1以上の選択性を生成する。
或いは、オーバーエッチングステップを、エッチングプロセスの後に実施して、所望の材料全てを基板から確実に除去してもよい。オーバーエッチングは、金属層320をエッチングするのに好適な処理ガスを用いてよい。例えば、オーバーエッチングガスは、本明細書に記載した、酸素含有ガス、塩素含有ガス、無塩素ハロゲン含有ガス、及び不活性ガスのうち1種類以上、全てを含めて、含んでいてよい。
或いは、本明細書に記載したARC材料を金属層上に形成する場合には、ARC材料は、金属層エッチングプロセス中、金属層と共に除去してもよいし、或いは、金属層をエッチングする前にエッチングプロセスにより除去してもよい。ARCエッチングプロセス及び金属層エッチングプロセスの一例については、2004年3月18日出願の米国特許出願第10/803,867号「フォトマスクエッチングのための多工程プロセス(Multi−step Proces For Etching Photomasks)」に詳述されている。
本明細書に記載した、開示された条件下でのエッチングプロセスは、約1:1以上の金属層対レジストの除去レート比、即ち、選択性又はエッチングバイアスを生成する。約1:1以上の金属対レジストの選択性が、本明細書に記載されたエッチングプロセスにより処理された基板122で観察されている。約3:1以上の金属対レジストの選択性が、本明細書に記載されたエッチングプロセスにより処理された基板で観察されている。選択性の増大により、エッチングプロセスが、フォトレジスト層にパターン化された限界寸法を保ち、エッチングされたクロムフィーチャーを、所望の限界寸法にすることができる。
本明細書に記載したエッチングプロセスはまた、フィーチャーレジスト材料内の「側部」から独立した「トップ」又は上部表面レジスト材料を除去することも観察された。これは、異方性エッチング及び改善されたフィーチャー形成と両立している。更に、処理された基板は、約85度〜約88度の従来技術の結果と比べて、略垂直プロフィール、すなわち、フィーチャーの側壁とフィーチャーの底面の間の角度が約90度の所望の限界寸法のフィーチャーを生成した。
任意で、プラズマ打ち込みを用いて、金属層320をエッチングするためのプラズマを生成してよい。プラズマ打ち込みを用いて、エッチングプロセスについて本明細書に記載した組成及び流量で、処理ガスを導入する前に、プラズマを開始又は生成してよい。プラズマ打ち込みは、不活性ガス又は本明細書に記載した処理ガスの組成を用いてよい。
プラズマ打ち込みプロセスの処理条件及びプラズマ条件は、処理ガスの処理ガス構成要素、合計流量、チャンバ圧、電源及びバイアス電力をはじめとする本明細書に記載した処理ガスによるエッチングプロセスのものと近い。プラズマ打ち込みは、約15秒以下、例えば、約3秒〜約5秒であってよい。プラズマ打ち込みの一例には、チャンバ圧を約1ミリトル〜約40ミリトル、例えば、約3ミリトル〜約8ミリトルに設定し、約200W〜約1500W、例えば、300〜350Wの範囲で、電源をコイルに供給し、且つ/又は約5ワット〜約200W、例えば、約15W〜約20Wの範囲で、バイアスを供給することが含まれる。プラズマを打ち込むのに用いる電源は、基板122のエッチング中用いる電力より少なくてよい。
金属層320のエッチングが完了したら、基板122を処理チャンバ100へ移動し、残りのレジスト材料330を、図3Dに示すように、酸素プラズマプロセス、又は業界に公知のその他レジスト除去技術等により、基板122から、通常、除去する。
任意で、減衰材料を用いて、減衰相シフトフォトマスクを形成し、フォトマスクを通過する光の分解能を増大することにより、基板上に形成されたエッチングパターンの精度を増大してもよい。ケイ化モリブデン(MoSi)やその誘導体といった減衰材料を、不透明金属層320と、光学的に透明な基板表面310の間に配置してからエッチングしてもよい。減衰材料は、光学的に透明な基板に堆積してもよいし、光学的に透明な基板の製造中、光学的に透明な基板に一体化させてもよい。例えば、減衰材料が、金属層320の堆積前に基板に配置される場合には、減衰材料は、先ほどパターン化された金属層320に第2のレジスト材料を堆積及びパターン化することにより形成して、ステップ250で、下にある材料を露出してもよい。減衰材料の下にある材料又は、適切な場合、露出した基板そのものを、ステップ260で、かかる材料に好適なエッチングガスによりエッチングしてもよい。
ケイ素系材料等の光学的に透明な材料、及び基板122の減衰材料をエッチングする例は、2003年5月13日出願の米国特許出願第10/437,729号「フォトリソグラフィーレチクルのエッチング方法(Methods For Etching Photolithographic Reticles)」及び2002年5月21日出願の米国特許第6,391,790号に詳述されている。
上述した処理ガス組成物及び処理様式は、所望の限界寸法を備えた開口部又はパターンの制御可能なエッチングを提供する。開口部又はパターンのエッチングは、通常、本明細書に記載した処理ガスを用いることにより、異方性である。異方性プロセスは、開口部の底部に堆積した材料を、開口部の側壁の材料よりも速いレートで除去する。この結果、開口部の側壁の材料が、開口部の底部の材料よりも遅いレートで除去される。開口部の側壁を遅いレートでエッチングするエッチングプロセスは、側壁をオーバーエッチングする可能性が高くないため、エッチングされる開口部の限界寸法の維持が改善でき、エッチングバイアスが減少する。
本発明を以下の実施例により更に説明するが、これらは権利請求された本発明の範囲を限定することを意図したものではない。
(実施例)
例えば、約70ナノメートル(nm)〜約100nmの厚さで上に配置されたクロムフォトマスク層を備えた、光学的に透明な材料、例えば、光学的品質の水晶、融解シリカ、ケイ化モリブデン、酸窒化モリブデンケイ素(MoSi)、フッ化カルシウム、アルミナ、サファイヤ又はこれらの組み合わせ等で作成された基板を含むフォトリソグラフィーレチクルを、レジスト堆積のために処理チャンバに入れる。合計クロム深さの約25パーセントまでを構成する酸窒化クロムの任意のARC層を形成してもよい。
日本、東京応化(Tokyo−Oka,Japan)より市販されているレジスト材料であるZEP、又は化学増幅レジスト、又は同じく日本、東京応化(Tokyo−Oka,Japan)より市販されているCARレジスト等のレジストを、酸窒化クロム層上に堆積し、従来のレーザー又は電子ビームパターン化装置を用いてパターン化する。基板上に堆積したレジストの厚さは約200nm〜約600nm、例えば、約300nm〜約400nmであるが、所望の任意の厚さであってよい。
上記は本発明の例示的な1態様に係るものであるが、本発明のその他及び更なる態様はその基本的な範囲から逸脱することなく考案してよく、その範囲は特許請求の範囲に基づいて定められる。
上に挙げた本発明の態様が達成され、詳細に理解できるように、上に簡単にまとめた本発明を、添付図面に図解された実施形態を参照してより具体的に説明する。
しかしながら、添付図面は本発明の代表的な実施形態を例示するだけであり、その範囲を制限するとは解釈されず、本発明は他の同様に有効な実施形態も含み得ることに留意すべきである。
エッチングチャンバの一実施形態の概略断面図である。 本発明の一実施形態による基板を処理するシーケンスの一実施形態を示すフローチャートである。 本発明の他の実施形態のエッチングシーケンスを示す断面図である。
理解を促すために、図面で共通する同一の構成要素を示すのに、可能な場合は、同一の参照番号を用いている。一実施形態の構成は、特に挙げていないが、他の実施形態にも有利に組み込むことができると考えられる。

Claims (25)

  1. 処理チャンバにおいて、サポート部材にレチクルを配置する工程であって、前記レチクルが、光学的に透明な基板上に形成された金属フォトマスク層と、前記金属フォトマスク層上に堆積したパターン化レジスト材料とを含む工程と、
    酸素含有ガスと、塩素含有ガスと、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つとを含む処理ガスを導入する工程と、
    前記処理チャンバに電力を分配して、前記処理ガスから形成されたプラズマを生成する工程と、
    前記金属フォトマスク層の露出部分を前記プラズマを用いてエッチングする工程とを含むフォトリソグラフィーレチクルを処理する方法。
  2. 前記処理ガスを導入する工程が、無塩素ハロゲン含有ガスを、前記処理チャンバへ流す工程を含む請求項1記載の方法。
  3. 前記処理ガスを導入する工程が、臭化水素又はヨウ化水素のうち少なくとも1つを、前記処理チャンバへ流す工程を含む請求項2記載の方法。
  4. 前記処理ガスを導入する工程が、酸素、一酸化炭素又は二酸化炭素のうち少なくとも1つを前記処理チャンバへ流す工程を含む請求項1記載の方法。
  5. 前記処理ガスを導入する工程が、塩素、四塩化炭素又は塩酸のうち少なくとも1つを前記処理チャンバへ流す工程を含む請求項1記載の方法。
  6. 前記金属フォトマスク層が、クロム、酸窒化クロム又はこれらの組み合わせを含む請求項1記載の方法。
  7. 前記金属フォトマスク層が、酸窒化クロムの反射防止コーティングを含む請求項1記載の方法。
  8. 前記光学的に透明な基板が、水晶、ケイ化モリブデン、酸窒化モリブデンケイ素及びこれらの組み合わせの群から選択されるケイ素材料を含む請求項1記載の方法。
  9. 前記処理ガスを導入する工程が、アルゴンを、5〜100sccmの流量で、前記処理チャンバへ流す工程を含む請求項1記載の方法。
  10. 前記処理ガスを導入する工程が、アルゴンを、20〜45sccmの流量で、前記処理チャンバへ流す工程を含む請求項1記載の方法。
  11. 前記処理ガスを導入する工程が、ヘリウム、アルゴン、キセノン、ネオン又はクリプトンのうち少なくとも1つを前記処理チャンバへ流す工程を含む請求項1記載の方法。
  12. 前記プラズマを生成する工程が、前記処理チャンバにおいて、約200ワット〜約1500ワットのRF電源をコイルに印加する工程と、前記処理チャンバにおいて、約5ワット〜約200ワットのバイアス電力をレチクルサポートに印加する工程とを含む請求項1記載の方法。
  13. 前記金属フォトマスク層をエッチングする工程が、約1:1〜約3:1の金属フォトマスク層対レジスト材料比で、前記金属フォトマスク層を選択的にエッチングする工程を含む請求項1記載の方法。
  14. 前記処理ガスを導入する工程が、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つを、約1sccm〜50sccmのレートで処理チャンバへ流す工程を含む請求項1記載の方法。
  15. 前記処理ガスを導入する工程が、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つを、約1sccm〜5sccmのレートで、処理チャンバへ流す工程を含む請求項1記載の方法。
  16. 処理チャンバにおいて、サポート部材にレチクルを配置する工程であって、前記レチクルが、光学的に透明なケイ素系材料上に形成されたクロム系フォトマスク層と、前記クロム系フォトマスク層上に堆積したパターン化レジスト材料とを含む工程と、
    塩素ガスと、酸素ガス、及び、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つとを含む処理ガスを導入する工程と、
    処理中に、チャンバ圧を約3ミリトル〜約8ミリトルに、前記レチクルを約20℃〜約150℃の温度に維持する工程と、
    前記処理チャンバ近傍に配置されたコイルに約300〜約350ワットの電力を分配して、前記処理ガスからプラズマを生成する工程と、
    前記サポート部材に約15〜約20ワットのバイアス電力を供給する工程と、
    前記クロム系フォトマスク層の露出部分をエッチングする工程と、
    前記クロム系フォトマスク層を、約1:1以上のクロム系フォトマスク層対レジスト材料の除去レート比で、除去する工程とを含むフォトリソグラフィーレチクルを処理する方法。
  17. 前記処理ガスを導入する工程が、臭化水素を前記処理チャンバへ流す工程を含む請求項16記載の方法。
  18. 前記クロム系フォトマスク層が、クロム、酸窒化クロム又はこれらの組み合わせを含み、前記光学的に透明なケイ素系材料が、水晶、ケイ化モリブデン、酸窒化モリブデンケイ素又はこれらの組み合わせを含む請求項16記載の方法。
  19. 前記レチクルが、酸窒化クロムの反射防止コーティングを含む請求項18記載の方法。
  20. 前記処理ガスを導入する工程が、アルゴンを、5〜100sccmの流量で、前記処理チャンバへ流す工程を含む請求項16記載の方法。
  21. 前記処理ガスを導入する工程が、アルゴンを、20〜45sccmの流量で、前記処理チャンバへ流す工程を含む請求項16記載の方法。
  22. 前記処理ガスを導入する工程が、ヘリウム、アルゴン、キセノン、ネオン又はクリプトンのうち少なくとも1つを、前記処理チャンバへ流す工程を含む請求項16記載の方法。
  23. 約前記金属フォトマスク層及び前記レジスト材料が、1:1〜約3:1の金属フォトマスク層対レジスト材料の除去レート比で、除去される請求項16記載の方法。
  24. 前記処理ガスを導入する工程が、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つを、約1sccm〜50sccmのレートで、処理チャンバへ流す工程を含む請求項16記載の方法。
  25. 前記処理ガスを導入する工程が、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つを、約1sccm〜5sccmのレートで、処理チャンバへ流す工程を含む請求項16記載の方法。
JP2007280804A 2006-10-30 2007-10-29 マスクエッチングプロセス Expired - Fee Related JP5484666B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86347406P 2006-10-30 2006-10-30
US60/863,474 2006-10-30

Publications (3)

Publication Number Publication Date
JP2008116949A true JP2008116949A (ja) 2008-05-22
JP2008116949A5 JP2008116949A5 (ja) 2010-12-16
JP5484666B2 JP5484666B2 (ja) 2014-05-07

Family

ID=39052422

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007280804A Expired - Fee Related JP5484666B2 (ja) 2006-10-30 2007-10-29 マスクエッチングプロセス

Country Status (6)

Country Link
US (1) US20080179282A1 (ja)
EP (1) EP1918775A3 (ja)
JP (1) JP5484666B2 (ja)
KR (2) KR100944846B1 (ja)
CN (1) CN101174081A (ja)
TW (1) TWI410744B (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
WO2010141257A2 (en) * 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
CN103837938A (zh) * 2012-11-20 2014-06-04 上海华虹宏力半导体制造有限公司 光纤对准器件及其制造方法
CN103730720B (zh) * 2013-12-20 2016-04-13 上海安费诺永亿通讯电子有限公司 一种在有遮挡结构的天线载体表面制作天线线路的方法
CN108132579B (zh) * 2016-12-01 2020-09-25 清华大学 光刻掩模板
WO2020061484A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Etching metal-oxide and protecting chamber components
CN111106005A (zh) * 2018-10-29 2020-05-05 中微半导体设备(上海)股份有限公司 一种图形的修剪方法及等离子体处理装置
CN109557761B (zh) * 2018-12-07 2022-03-08 深圳市华星光电半导体显示技术有限公司 掩膜板制作方法
US20220193828A1 (en) * 2020-12-23 2022-06-23 Amulaire Thermal Technology, Inc. Lift-off structure for sprayed thin layer on substrate surface and method for the same
US11915932B2 (en) 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06204187A (ja) * 1993-01-06 1994-07-22 Toshiba Corp エッチング方法
JPH11184067A (ja) * 1997-12-19 1999-07-09 Hoya Corp 位相シフトマスク及び位相シフトマスクブランク
JP2004503829A (ja) * 2000-06-15 2004-02-05 アプライド マテリアルズ インコーポレイテッド 基板上のメタル層をエッチングする方法および装置
JP2004038154A (ja) * 2002-05-14 2004-02-05 Applied Materials Inc フォトリソグラフィレチクルをエッチングする方法
JP2006215552A (ja) * 2005-01-27 2006-08-17 Applied Materials Inc フォトマスク製作に適したクロム層をプラズマエッチングするための方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3071299D1 (en) * 1979-07-31 1986-01-30 Fujitsu Ltd Dry etching of metal film
JPS58125829A (ja) * 1982-01-22 1983-07-27 Hitachi Ltd ドライエツチング方法
GB2121198A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
GB2121197A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
US5365515A (en) * 1991-07-17 1994-11-15 Tut Systems, Inc. Network monitor and test apparatus
JP3334911B2 (ja) * 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
KR100295385B1 (ko) * 1993-04-09 2001-09-17 기타지마 요시토시 하프톤위상쉬프트포토마스크,하프톤위상쉬프트포토마스크용블랭크스및이들의제조방법
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JPH0915416A (ja) * 1995-06-30 1997-01-17 Sumitomo Chem Co Ltd 低反射ブラックマスクを有する液晶表示素子用カラーフィルター
US6693310B1 (en) * 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6280646B1 (en) * 1999-07-16 2001-08-28 Micron Technology, Inc. Use of a chemically active reticle carrier for photomask etching
JP4700160B2 (ja) * 2000-03-13 2011-06-15 株式会社半導体エネルギー研究所 半導体装置
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6919147B2 (en) * 2002-09-25 2005-07-19 Infineon Technologies Ag Production method for a halftone phase mask
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
KR101135246B1 (ko) * 2003-04-09 2012-06-07 호야 가부시키가이샤 포토 마스크의 제조방법 및 포토 마스크 블랭크
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) * 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
TWI223350B (en) * 2003-07-17 2004-11-01 Semiconductor Mfg Int Shanghai A new method of mask chrome film etching process by employing electrolysis technique
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06204187A (ja) * 1993-01-06 1994-07-22 Toshiba Corp エッチング方法
JPH11184067A (ja) * 1997-12-19 1999-07-09 Hoya Corp 位相シフトマスク及び位相シフトマスクブランク
JP2004503829A (ja) * 2000-06-15 2004-02-05 アプライド マテリアルズ インコーポレイテッド 基板上のメタル層をエッチングする方法および装置
JP2004038154A (ja) * 2002-05-14 2004-02-05 Applied Materials Inc フォトリソグラフィレチクルをエッチングする方法
JP2006215552A (ja) * 2005-01-27 2006-08-17 Applied Materials Inc フォトマスク製作に適したクロム層をプラズマエッチングするための方法

Also Published As

Publication number Publication date
EP1918775A3 (en) 2012-06-06
CN101174081A (zh) 2008-05-07
TW200819908A (en) 2008-05-01
JP5484666B2 (ja) 2014-05-07
KR100944846B1 (ko) 2010-03-04
TWI410744B (zh) 2013-10-01
KR101333744B1 (ko) 2013-11-27
EP1918775A2 (en) 2008-05-07
KR20090077736A (ko) 2009-07-15
US20080179282A1 (en) 2008-07-31
KR20080039205A (ko) 2008-05-07

Similar Documents

Publication Publication Date Title
JP5265174B2 (ja) エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング
JP5484666B2 (ja) マスクエッチングプロセス
US7521000B2 (en) Process for etching photomasks
US7077973B2 (en) Methods for substrate orientation
US7371485B2 (en) Multi-step process for etching photomasks
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
JP2006215552A5 (ja)
US20040072081A1 (en) Methods for etching photolithographic reticles
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
JP2009520356A (ja) フォトリソグラフィ用基体の改善されたエッチング方法
US20040000535A1 (en) Process for etching photomasks

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101020

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101020

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120521

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120524

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120621

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120721

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120726

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130501

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130508

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130603

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130606

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130709

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130725

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140219

R150 Certificate of patent or registration of utility model

Ref document number: 5484666

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees