KR100822294B1 - 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법 - Google Patents

포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법 Download PDF

Info

Publication number
KR100822294B1
KR100822294B1 KR1020060007614A KR20060007614A KR100822294B1 KR 100822294 B1 KR100822294 B1 KR 100822294B1 KR 1020060007614 A KR1020060007614 A KR 1020060007614A KR 20060007614 A KR20060007614 A KR 20060007614A KR 100822294 B1 KR100822294 B1 KR 100822294B1
Authority
KR
South Korea
Prior art keywords
layer
molybdenum
etching
light
gas
Prior art date
Application number
KR1020060007614A
Other languages
English (en)
Other versions
KR20060086864A (ko
Inventor
마드하비 찬드라추드
아자이 쿠마
와이-팬 야우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060086864A publication Critical patent/KR20060086864A/ko
Application granted granted Critical
Publication of KR100822294B1 publication Critical patent/KR100822294B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/52Devices affording protection against insects, e.g. fly screens; Mesh windows for other purposes
    • E06B9/54Roller fly screens
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/56Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor
    • E06B9/60Spring drums operated only by closure members
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/56Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor
    • E06B9/78Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor for direct manual operation, e.g. by tassels, by handles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/52Devices affording protection against insects, e.g. fly screens; Mesh windows for other purposes
    • E06B9/54Roller fly screens
    • E06B2009/543Horizontally moving screens
    • EFIXED CONSTRUCTIONS
    • E06DOORS, WINDOWS, SHUTTERS, OR ROLLER BLINDS IN GENERAL; LADDERS
    • E06BFIXED OR MOVABLE CLOSURES FOR OPENINGS IN BUILDINGS, VEHICLES, FENCES OR LIKE ENCLOSURES IN GENERAL, e.g. DOORS, WINDOWS, BLINDS, GATES
    • E06B9/00Screening or protective devices for wall or similar openings, with or without operating or securing mechanisms; Closures of similar construction
    • E06B9/56Operating, guiding or securing devices or arrangements for roll-type closures; Spring drums; Tape drums; Counterweighting arrangements therefor
    • E06B9/80Safety measures against dropping or unauthorised opening; Braking or immobilising devices; Devices for limiting unrolling
    • E06B2009/801Locking arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Civil Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Insects & Arthropods (AREA)
  • Pest Control & Pesticides (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

포토마스크를 제조하는 방법이 제공된다. 일 실시예에서, 포토마스크를 제조하는 방법은 프로세싱 챔버에 몰리브덴층 및 광차단층을 갖는 막스택을 제공하는 단계, 광차단층 상에서 제 1 레지스트층을 패터닝하는 단계, 에칭 마스크로서 상기 제 1 레지스트층을 이용하여 광차단층을 에칭하는 단계, 및 복합 마스크로서 패터닝된 광차단층 및 패터닝된 제 1 레지스트층을 이용하여 몰리브덴층을 에칭하는 단계를 포함한다.

Description

포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법{METHOD FOR ETCHING A MOLYBDENUM LAYER SUITABLE FOR PHOTOMASK FABRICATION}
도 1은 몰리브덴층을 에칭하기에 적합한 프로세싱 챔버의 일 실시예의 개략적 단면도;
도 1a는 도 1의 프로세싱 챔버를 포함하는 프로세싱 시스템의 일 실시예의 개략도;
도 2는 몰리브덴층을 에칭하는 방법의 일 실시예를 나타내는 흐름도;
도 3a-3i는 본 발명의 몰리브덴층 에칭 방법의 일 실시예를 이용하여 제조된 석영 위상 이동 포토마스크의 일 실시예;
* 도면의 주요 부분에 대한 간단한 설명 *
100 : 프로세싱 챔버 102 : 챔버
110 : 안테나 118: 채널
본 발명의 실시예들은 몰리브덴층의 플라즈마 에칭 방법에 관한 것으로, 특히 포토마스크를 제조하는 동안 몰리브덴층을 에칭하는 방법에 관한 것이다.
집적회로(IC) 또는 칩 제조시, 칩의 상이한 층들을 나타내는 패턴이 칩 설계자에 의해 형성된다. 제조 프로세스 동안 반도체 기판상에 각각의 칩층의 설계를 전사시키기 위해 상기 패턴으로부터 일련의 재사용가능한 마스크, 또는 포토마스크들이 형성된다. 마스크 패턴 발생 시스템은 정밀 레이저 또는 전자 빔을 사용하여 각각의 마스크 상에 각각의 칩 층 설계를 이미지화시킨다. 다음 마스크가 반도체 기판상에 각각의 층에 대한 회로 패턴을 전사키기기 위해 포토그래피 네거티브형으로 사용된다. 이들 층들은 프로세스 시퀀스를 이용하여 증착되며 각각 완성된 칩을 포함하는 작은 트랜지스터 및 전기 회로로 전사된다. 따라서, 마스크에서의 임의의 결함이 칩으로 전사될 수 있어, 잠재적으로 칩 성능에 영향을 미칠 수 있다. 결함이 많으면 마스크를 충분히 이용하지 못할 수 있다. 통상적으로 15-30개의 마스크 세트가 칩을 구성하는데 사용되며 반복적으로 사용될 수 있다.
통상적으로 마스크는 한쪽 측면상에 크롬층을 갖는 유리 또는 석영 기판이다. 크롬층은 비반사 코팅 및 감광성 레지스트로 커버된다. 패터닝 프로세스 동안, 자외선 광에 레지스트 부분을 노출시키고 현상액에서 용해가능한 노출된 부분을 만듬으로써 상기 마스크상에 회로 디자인이 기록된다. 레지스트의 용해가능한 부분이 제거되고 패턴이 형성된다. 이러한 패턴은 노출된 하부 크롬이 에칭되게 한다. 에칭 프로세스는 레지스트가 제거되는 위치에서 마스크로부터 크롬 및 비반사층들을 제거한다, 즉, 노출된 크롬이 제거된다.
패터닝에 이용되는 또 다른 마스크는 위상 이동 마스크로서 공지되어 있다. 위상 이동 마스크는, 패터닝된 크롬층을 통해 노출된 석영 영역들의 교번하는 인접한 영역들이 광-감쇄 재료의 층으로 커버된다는 것을 제외하고, 상기 개시된 마스크와 유사하다. 광-감쇄 재료의 두께는 제조 동안 기판에 회로 패턴을 전사시키는데 사용될 수 있는 광파장의 대략 절반과 같다. 일 실시예에서, 광-감쇄 재료층은 약 50nm 내지 약 100nm의 두께를 갖는다. 상이한 두께가 사용될 수도 있다. 감쇄 재료층은 CVD 기술과 같이, 종래의 공지된 기술에 의해 증착될 수 있다. 적절한 광-감쇄 재료의 예로는 몰리브덴 실리사이드, 몰리브덴 실리콘(MoSi), 몰리브덴 실리콘 옥시질화물(MoSixNyOz), 이들의 조합, 또는 광이 통과하는 위상을 이동시키기에 적합한 임의의 다른 물질이 포함된다.
회로를 제조하는 동안 기판상에 배치되는 레지스트를 노출시키기 위해 광이 위상 이동 마스크를 투과함에 따라, 마스크의 하나의 개구부를 통해 레지스트에 충돌하는 광은 바로 인접한 개구부들을 커버하는 광-감쇄 재료를 통과하는 광을 기준으로 위상이 180도 벗어나게 된다. 결과적으로, 마스크 개구부의 에지에서 산란될 수 있는 광은 인접한 개구부의 에지에서 산란되는 광의 위상이 180도 벗어남으로써 소거되어, 레지스트의 예정된 영역에 엄격한 광 분포가 야기된다. 엄격한 광 분포는 바람직하게 보다 작은 최소선폭을 갖는 피쳐(feature)의 기록을 용이하게 한다.
건식 에칭, 반응성 이온 에칭, 또는 플라즈마 에칭으로 공지된 에칭 프로세스에서, 플라즈마는 화학 반응을 강화시키고 마스크의 크롬 층을 폴리머 레지스트를 통해 패터닝하는데 이용된다. 폴리머 레지스트가 스트립핑된 이후, 패터닝된 크롬층은 광-감쇄 재료를 에칭하기 위한 마스크로서 사용된다. 바람직하지 못하게, 광-감쇄 재료(예를 들어, 몰리브덴)를 에칭하는데 사용되는 종래의 프로세스는 광-감쇄 재료를 패터닝하는데 이용되는 크롬층내 개구부의 측벽 상의 공격으로 인해 종종 에칭 바이어스를 나타낸다. 크롬 에칭 프로세스 동안 개구부가 확장됨에 따라, 패터닝된 크롬층의 최소선폭이 광-감쇄 재료에 정확하게 전사되지 않는다. 따라서, 종래의 몰리브덴 에칭 프로세스는 약 5㎛ 미만의 최소선폭을 갖는 마스크에 대해 허용가능한 결과를 산출할 수 없다. 이는 마스크의 에칭된 피쳐의 불균일성을 야기시켜 마스크를 사용하는 작은 최소선폭을 갖는 장치에 대해 피쳐를 제조하는 능력을 감소시킨다.
마스크의 최소선폭 축소가 지속됨에 따라, 에칭 균일성의 중요도는 증가한다. 따라서, 포토마스크를 제조하는 동안 최소선폭을 정확하게 유지하는 능력이 매우 요구되고 있다.
따라서, 포토마스크 제조에 적합한 개선된 몰리브덴 에칭 프로세스가 요구되고 있다.
본 발명의 목적은 포토마스크 제조에 적합한 개선된 몰리브덴 에칭 프로세스를 제공하는 것이다.
본 발명은 포토마스크를 제조하는 방법을 제공한다. 일 실시예에서, 포토마스크를 제조하는 방법은, 프로세싱 챔버에 몰리브덴층, 광-차단층 및 패터닝된 제 1 레지스트층을 갖는 막스택을 제공하는 단계; 에칭 마스크로서 상기 제 1 레지스트층을 이용하여 광-차단층을 에칭하는 단계; 및 복합 마스크로서 상기 패터닝된 광-차단층과 패터닝된 제 1 레지스트층을 이용하여 몰리브덴층을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 포토마스크를 제조하는 방법은 에칭 마스크로서 제 1 포토레지스트층을 이용하여 크롬층을 에칭하는 단계; 제 1 포토레지스트층과 크롬층을 통해 형성된 개구부를 통해 하부 석영 재료를 노출시키기 위해 몰리브덴층을 에칭하는 단계; 몰리브덴층 또는 크롬층 중 적어도 하나를 에칭하는 프로세싱 챔버에서 인슈트로 제 1 포토레지스트층을 제거하는 단계; 크롬층상에서 제 2 포토레지스트층을 패터닝하는 단계; 및 몰리브덴층을 노출시키기 위해 에칭 마스크로서 제 2 포토레지스트층을 이용하여 크롬층을 에칭하는 단계를 포함한다.
본 발명의 상기 특징들은 하기의 상세한 설명들을 참조로 이해될 것이며, 첨부되는 도면에 도시되는 소정의 실시예를 참조할 수 있다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로 본 발명의 범주 및 정신을 제한하고자 하는 것이 아니며, 본 발명은 등가의 다른 유효 실시예를 허용할 수 있다는 것을 주목해야 한다.
발명의 이해를 돕기 위해, 도면에서 공통되는 동일한 부재들의 표시는 가능한 한 동일한 참조부호를 사용하였다. 일 실시예의 특징은 본 명세서에서 개시되지 않은 다른 실시예들과 바람직하게 통합될 수 있다.
도 1은 본 발명의 몰리브덴 에칭에 적합한 프로세싱 챔버(100)의 일 실시예의 개략도이다. 본 명세서에서 개시된 기술을 이용하는데 적용될 수 있는 적절한 프로세싱 챔버로는 예를 들어, 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사에서 입수되는 비결합 플라즈마 소스(DPS
Figure 112006005667880-pat00001
)Ⅱ 반응기, 또는 테트라 Ⅰ 및 테트 라Ⅱ 포토마스크 에칭 시스템이 있다. 본 명세서에서 도시된 프로세싱 챔버(100)의 특정 실시예는 도시를 위해 제공된 것으로 본 발명의 범주를 제한하는데 이용되서는 안된다.
프로세싱 챔버(100)는 도 1a에 도시된 것처럼 프로세싱 시스템(180)의 일부이다. 예를 들어, 어플라이드 머티어리얼스사로부터 입수가능한, Centura
Figure 112006005667880-pat00002
통합 반도체 웨이퍼 프로세싱 시스템과 같이, 프로세싱 시스템(180)은 애싱에 적합한 제 1 프로세싱 챔버(192) 및 폴리머 증착에 적합한 제 2 챔버(194)를 포함한다. 적절한 애싱 및 증착 챔버의 예로는 어플라이드 머티어리얼스사로부터 입수가능한 AXIOM HTTM 및 테트라Ⅱ 프로세싱 챔버가 있다. 프로세싱 챔버(100), 프로세싱 챔버들(190, 192) 및 로드락 챔버들(198)은 내부에 로봇(196)이 배치된 중앙 이송 챔버(194)에 결합된다. 로봇(196)은 프로세싱 챔버(100), 프로세싱 챔버들(190, 192) 및 로드락 챔버(198) 사이에서 기판의 이송을 용이하게 한다.
다시 도 1을 참조로, 일반적으로 프로세싱 챔버(100)는 도전성 바디(벽)(104)내에서 기판 페데스탈(124)을 갖는 프로세스 챔버(102) 및 제어기(146)를 포함한다. 챔버(102)는 실질적으로 평탄한 유전체 실링(108)을 갖는다. 챔버(102)의 다른 변형으로는 돔 형상의 실링과 같이 다른 형태의 실링을 포함한다. 안테나(110)는 실링(108) 위에 배치된다. 안테나(110)는 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(도 1에 두 개의 동축 부재(110a, 110b)가 도시됨)를 포함한다. 안테나(110)는 제 1 매칭 네트워크(114)를 통해 플라즈마 전력원(112)에 결합된다. 플라즈마 전력원(112)은 통상적으로 약 50kHz 내지 약 13.56MHz 범위의 동조가능 주파수에서 약 3000 와트(W)까지 형성할 수 있다. 일 실시예에서, 플라즈마 전력원(112)은 약 13.56MHz의 주파수에서 RF 전력에 유도적으로 결합되어 약 100 내지 약 600W를 제공한다.
기판 페데스탈(캐소드)(124)은 제 2 매칭 네트워크(142)를 통해 바이어싱 전력원(140)에 결합된다. 바이어싱 소스(140)는 약 1 내지 약 10kHz 범위의 동조가능한 펄스 주파수에서 약 제로 내지 약 600W 사이에서 제공된다. 바이어싱 소스(140)는 펄스형 RF 전력 출력을 발생시킨다. 선택적으로, 바이어싱 소스(140)는 펄스형 DC 전력 출력을 발생시킨다. 소스(140)는 또한 일정한 DC 및/또는 RF 전력 출력을 제공할 수 있다.
일 실시예에서, 바이어싱 소스(140)는 약 10 내지 약 95 퍼센트 사이의 듀티 사이클로, 약 1 내지 약 10kHz 사이의 주파수에서 약 600 Watt 미만의 RF 전력을 제공하도록 구성된다. 또 다른 실시예에서, 바이어싱 소스(140)는 약 80 내지 약 95 퍼센트 사이의 듀티 사이클로, 약 2 내지 약 5kHz 사이의 주파수에서, 약 20 내지 약 150 Watt 사이의 RF 전력을 제공하도록 구성된다.
DSP
Figure 112006005667880-pat00003
프로세싱 챔버로서 구성된 일 실시예에서, 기판 지지 페데스탈(124)은 정전기척(160)을 포함한다. 정전기척(160)은 적어도 하나의 클램핑 전극(132)을 포함하며 척 전력원(166)에 의해 제어된다. 선택적 실시예에서, 기판 페데스탈(124)은 서셉터 클램핑 링, 진공 척, 기계적 척 등과 같은 기판 보유 메커니즘을 포함할 수 있다.
가스 패널(120)은 프로세스 챔버(102)의 내부에 프로세스 및/또는 다른 가스들을 제공하도록 프로세스 챔버(102)에 결합된다. 도 1에 도시된 실시예에서, 가스 패널(120)은 챔버(102)의 측벽(104)에 있는 채널(118)에 형성된 하나 이상의 주입구(116)에 결합된다. 하나 이상의 주입구(116)는 예를 들어 프로세스 챔버(102)의 실링(108)에 있는 다른 위치에 제공될 수 있다.
일 실시예에서, 가스 패널(120)은 입구(116)를 통해 프로세스 챔버(102)의 내부로 불소화(fluorinated) 프로세스 가스를 제공하도록 구성된다. 프로세싱 동안, 플라즈마가 프로세스 가스로부터 형성되고 플라즈마 전력원(112)으로부터 유도성 전력 커플링을 통해 유지된다. 선택적으로 플라즈마는 다른 방법에 의해 점화되거나 원격적으로 형성될 수 있다. 일 실시예에서, 가스 패널(120)로부터 제공된 프로세스 가스는 적어도 불소화 가스, 염소 가스 및 탄소 함유 가스 중 하나를 포함한다.
챔버(102)의 압력은 트로틀 밸브(162) 및 진공 펌프(164)를 사용하여 제어된다. 진공 펌프(164) 및 트로틀 밸브(162)는 약 1 내지 약 20mTorr의 범위에서 챔버 압력을 유지할 수 있다.
벽(104)의 온도는 벽(104)을 지나는 액체-함유 도관(미도시)을 사용하여 제어될 수 있다. 벽 온도는 일반적으로 약 섭씨 65도로 유지된다. 일반적으로, 챔버 벽(104)은 금속(예를 들어, 알루미늄, 스테인레스 스틸 등)으로 형성되며 전기적 접지(106)에 결합된다. 또한 프로세스 챔버(102)는 프로세스 제어, 내부 진단, 엔드포인트 검출 등을 위한 종래의 시스템을 포함한다. 이러한 시스템은 전체적으로 지지 시스템(154)으로 도시된다.
레티클 어댑터(182)는 기판 지지 페데스탈(124) 상에 기판(레티클 또는 다른 제품)(122)을 고정하는데 사용된다. 일반적으로 레티클 어댑터(182)는 페데스탈(124)의 상부 표면(예를 들어, 정전기 척(160))을 커버하도록 밀링된 하부 부분(184) 및 기판(122)을 보유하는 크기 및 형상인 개구부(188)를 갖는 상부 부분(186)을 포함한다. 일반적으로 개구부(188)는 페데스탈(124)에 대해 대체로 중심이 설정된다. 일반적으로 어댑터(182)는 단일의 에칭 저항성 부품, 폴리이미드 세라믹 또는 석영과 같은 고온 저항성 재료로 형성된다. 적절한 레티클 어댑터는 2001년 6월 26일자로 발행된 미국 특허 No.6,251,217호에 개시되어 있으며 상기 문헌은 본 명세서에서 참조된다. 에지 링(126)은 페데스탈(124)에 어댑터(182)를 커버 및/또는 고정할 수 있다.
리프트 메커니즘(138)은 기판 지지 페데스탈(124) 상에 또는 그로부터 어댑터(182) 및 기판(122)을 하강 및 상승시키는데 사용된다. 일반적으로, 리프트 메커니즘(138)은 각각의 가이드 홀(136)을 통해 이동하는 다수의 리프트 핀들(하나의 리프트 핀(130)이 도시됨)을 포함한다.
동작시, 기판(122) 온도는 기판 페데스탈(124)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(124)은 히터(144) 및 선택적 히트 싱크(128)를 포함한다. 히터(144)는 열 전송 유체가 흐르도록 구성된 하나 이상의 유체 도관일 수 있다. 또 다른 실시예에서, 히터(144)는 히터 전력원(168)에 의해 조절되는 적어도 하나의 가열 부재(134)를 포함할 수 있다. 선택적으로, 가스 소스(156)로부터의 후방 가스(예를 들어, 헬륨(He))가 가스 도관(158)을 통해 기판(122) 아래 페데스탈 표면에 형성된 채널에 제공된다. 후방 가스는 페데스탈(124)과 기판(122) 사이의 열 전달을 용이하게 하는데 사용된다. 프로세싱 동안, 페데스탈(124)은 헬륨 후방 가스와 조합되어 정상상태 온도로 내장된 히터(144)에 의해 가열되어 기판(122)의 균일한 가열을 용이하게 할 수 있다.
제어기(146)는 중앙 처리 유닛(CPU)(150), 메모리(148), 및 CPU(150)에 대한 지지 회로(152)를 포함하며 하기에 보다 상세히 설명되는 것처럼, 에칭 프로세스 및 프로세싱 챔버(100)의 부품 제어를 용이하게 한다. 제어기(146)는 다양한 챔버 및 서브-프로세서를 제어하기 위한 산업환경(industrial setting)에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. CPU(150)의 메모리(148)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 다른 임의의 형태의 디지털 저장기, 로컬 또는 리모트와 같이 쉽게 이용가능한 하나 이상의 메모리일 수 있다. 지지 회로(152)는 종래의 방식으로 프로세서를 지지하기 위해 CPU(150)에 결합된다. 이들 회로는 캐시, 전력원, 클록 회로, 입/출력 회로 및 서브시스템 등을 포함한다. 본 발명의 방법은 일반적으로 메모리(148) 또는 소프트웨어 루틴으로서 CPU(150)에 액세스가능한 다른 컴퓨터 판독가능 매체에 저장된다. 선택적으로, 이러한 소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행된다.
도 2는 몰리브덴층을 에칭하기 위한 방법(200)의 일 실시예 흐름도이다. 몰리브덴층은 몰리브덴(Mo)이 도핑된 실리콘 질화물(SiN), 몰리브덴 실리사이드, 몰리브덴 실리콘(MoSi), 몰리브덴 실리콘 옥시질화물(MoSixNyOz), 이들의 조합, 또는 다른 적합한 광-감쇄 몰리브덴 함유 재료일 수 있다. 상기 방법(200)은 도 3a-3i의 포토마스크(340)를 제조하는데 이용되는 막스택(300i)의 일 실시예의 프로세싱을 참조로 하기에 설명되지만, 방법(200)의 바람직한 특징은 다른 몰리브덴 에칭 분야에서도 바람직하게 이용될 수 있다. 첨자 "i"는 도 3a-3i에 도시된 막스택의 상이한 제조 단계를 나타내는 정수이다.
제어기(146)의 메모리(148) 또는 다른 저장 매체에 컴퓨터 판독가능한 형태로 저장될 수 있는 방법(200)은 기판(122)이 지지 페데스탈(124)상에 위치되는 단계(202)에서 시작된다. 일 실시예에서, 기판(122)은 어댑터(182)의 개구부(188)상에 위치된다. 기판(122)은 도 3a에 도시된 것처럼 막스택(3001)을 포함한다. 막스택(3001)은 석영(즉, 실리콘 이산화물(SiO2))층(302)과 같이 광학적으로 투과성있는 실리콘 기재 재료, 불투명한 광-차단층(304) 및 몰리브덴층(330)을 포함한다. 불투명한 광-차단층(304)은 석영층(302) 상에 배치된다. 통상적으로 광-차단층(304)은 크롬 및/또는 크롬 산화물을 포함한다. 일 실시예에서, 광-차단층(304)은 약 500 옴스트롱의 전체 두께를 가지는 크롬 산화물의 얇은 층으로 코팅된 크롬층을 포함한다. 막스택(3001)은 광-차단층(304)에 형성된 선택적인 비반사층(306)(가상으로 도시됨)을 포함할 수 있다. 막스택(3001)은 또한 존재하는 경우 비반사층(306) 또는 광-차단층(304) 상에 배치된 제 1 레지스트층(308)을 포함한다. 레지스트층(308)에 적합한 재료의 예로는 duPont de Nemours Chemical Company에서 제조되는 "RISTON"이 있으며, 약 200 내지 600nm 사이의 두께로 광-차단층(304) 상에 배치될 수 있다. 몰리브덴층(330)은 석영층(302)과 광-차단층(330) 사이에 삽입되며 포토마스크를 사용하는 동안 광의 위상을 이동시키기 위한 광-감쇄층으로서의 역할을 한다.
일반적으로, 몰리브덴층(330)은 위상 이동 마스크를 사용하기 위한 광 파장에 대해 몰리브덴층(330)을 통해 180도 위상 이동의 길이와 대략 동일한 두께를 갖는다. 전형적인 파장은 193 내지 248nm이다. 따라서, 몰리브덴층(330)은 통상적으로 약 50 내지 약 100nm 두께지만, 상이한 리소그래피 광 파장을 이용하는 마스크에 대해 다른 두께가 이용될 수 있다.
단계(204)에서, 제 1 레지스트층(308)은 광-차단층(304)의 부분들을 노출시키도록 레지스트(308)를 통해 개구부(320)를 형성하기 위해 도 3b에 도시된 막스택(3002)에 도시된 것처럼 광-차단층(304) 위에서 패터닝된다. 일반적으로 개구부(320)는 폭(310)으로 최소선폭(CD)을 한정한다. 폭(310)은 하기에 보다 상세히 설명되는 바와 같이 완성된 포토마스크를 통해 광 경로를 한정하는 완성된 개구부에 전사될 예정된 CD를 갖도록 선택된다. 제 1 레지스트층(308)은 임의의 적절한 방식으로 패터닝될 수 있다.
선택적 단계(206)에서, 도 3c에 도시된 막스택(3003)에 도시된 것처럼 패터닝된 제 1 레지스트층(308) 위에 컨포멀한 보호층(332)이 증착된다. 보호층(332)은 폴리머일 수 있으며 순차적으로 개시되는 에칭 프로세스들 중 임의의 에칭 프로세스가 수행되는 프로세싱 시스템 및/또는 챔버에서 인슈트로 증착될 수 있다. 보호층(332)은 약 100 내지 약 500 옴스트롱 사이의 두께로 증착될 수 있으며, 다른 실시예에서는, 약 150 내지 약 200 옴스트롱이다. 이러한 실시예에서, 개구부(320)의 폭(310)은 개구부(320)의 측벽상에 컨포멀하게 증착되는 재료의 두께가 타겟 최소선폭(CD)(310')으로 폭(310)을 감소시키도록 선택된다. 보호층(332)이 사용되지 않는 실시예에서, 폭(310)은 타겟 CD 이다.
일 실시예에서, 보호층(332)은 불소화 프로세싱 가스, 예를 들어, CHF3 및/또는 C4H8을 사용함으로써 증착된다. 선택적으로, 프로세싱 가스는 희석 및 증착 균일성 강화를 위해 Ar을 포함할 수 있다. 일 실시예에서, 보호층(332)은 약 200 내지 약 500W 사이의 플라즈마 전력, 약 0 내지 약 20W 사이의 바이어스 전력을 사용하여 증착될 수 있다. 일 실시예에서, 보호층(332)을 형성하는데 이용되는 프로세스 가스는 약 100sccm의 CHF3 및 약 100sccm의 Ar을 이용하여 플라즈마를 형성한다. 형성된 보호층(332)은 일반적으로 수소를 갖는 탄소 폴리머이며, 약 500 옴스트롱까지의 두께로 증착된다. 하부층의 순차적인 에칭을 위해 패터닝된 레지스트층상에 보호층을 증착하는 방법의 예는 M. CHANDRACHOOD 등에 의해 "METHOD FOR PHOTOMASK PLASMA ETCHING USING A PROTECTED MASK"란 명칭으로 2005년 1월 27일자로 출원된, 미국 특허 출원 번호 11/044,339호에 개시되며, 상기 문헌은 본 명세서에서 참조된다.
단계(208)에서, 광-차단층(304)은 도 3d에 도시된 막스택(3004)에 도시된 바와 같이 광-차단층(304)에 개구부(322)를 형성하기 위해 에칭 마스크로서 패터닝된 제 1 레지스트층(308)을 이용하여 에칭된다. 보호층(332)이 이용되는 실시예에서, 크롬 에칭 단계(208)는 광-차단층(304)의 노출된 부분들에 대해 패터닝된 레지스트의 개구부(320)에 배치된 선택적 보호층의 수평 부분을 제거하는 단계를 포함한다. 패터닝된 레지스트의 측벽들상에 배치된 보호층(332)의 수직 부분들이 보호층의 수평 부분들에 비해 매우 서서히 제거되기 때문에, 패터닝된 레지스트의 측벽들상에 배치된 보호층(332)이 실질적으로 개구부의 최소선폭(CD)을 유지하는 동안 광-차단층(304)이 에칭되어, 에칭 단계(208) 동안 크롬층에 형성된 개구부에 마스크 CD의 정확한 전사가 이루어질 수 있다. 다시 말해, 패터닝된 제 1 레지스트층(308)의 개구부(320)의 폭(310')은 광-차단층(304)의 개구부(322)에 정확히 전사된다. 광-차단층(304)을 에칭하는 단계는 하기에 개시되는 바와 같이, 몰리브덴층(330)이 에칭되는 프로세싱 챔버(100)에서, 또는 프로세싱 시스템(180)에 결합된 다른 프로세싱 챔버에서 수행될 수 있다.
일 실시예에서, 하나 이상의 불소화 프로세스 가스로부터 플라즈마를 형성하는 에칭 단계(208)는 가스 입구(116)를 통해 프로세스 챔버(102)에 도입된다. 예시적인 프로세스 가스로는 CF4 및 CHF3가 포함될 수 있다. 프로세싱 가스는 He, Ar, Xe, Ne, 및 Kr과 같은 불활성 가스를 더 포함할 수 있다.
또 다른 실시예에서, 기판(122)의 노출된 광-차단층(304)은 2 내지 50 sccm 유속의 CF4 및 10 내지 50sccm 유속의 CFH3를 공급함으로써, 테트라 Ⅰ 및 테트라Ⅱ 또는 DPS
Figure 112006005667880-pat00004
Ⅱ 에칭 모듈을 사용하여 에칭된다. 특정 프로세스 레시피는 9sccm 유속의 CF4, 26sccm 유속의 CHF3를 제공한다. 프로세스 챔버 압력은 약 40mTorr 미만으로 제어되며 일 실시예에서는 약 1 내지 약 10mTorr 사이, 예를 들어, 2mTorr이다.
크롬 에칭 단계(208) 동안, 기판 바이어스 전력은 약 600W 미만이며, 제 1 예에서는 약 100W 미만이고, 제 2 예에서는 30W 내지 약 80W가 기판(122)을 바이어스시키기 위해 지지 페테스탈(124)에 공급된다. 특정 프로세스 레시피는 약 1 내지 약 10kHz 범위에서 동조가능한 펄스 주파수에서 약 65W 바이어스 전력을 인가한다.
단계(208) 동안, 프로세스 가스로부터 형성된 플라즈마는 플라즈마 전력원(112)으로부터 안테나(110)에 약 300 내지 약 600W 사이의 RF 전력을 인가함으로써 유지된다. 플라즈마는 임의의 수의 방법에 의해 점화될 수 있다. 일 실시예에서, 약 250W의 RF 전력이 약 13.56MHz의 주파수에서 안테나(110)에 인가된다.
기판(122)상에 노출된 광-차단층(304)은 엔드포인트에 도달할 때까지 에칭된다. 엔드포인트는 시간, 광학적 간섭법, 챔버 가스 방출 분광사진 또는 다른 적절한 방식에 의해 검출된다. 에칭 단계는 증착 단계(206)가 수행되는 툴 또는 프로세싱 챔버(100)에서 인슈트로 수행될 수 있다.
또 다른 에칭 프로세스는 2002년 9월 4일자로 출원된 미국 특허 출원 번호 No. 10/235,223호에 개시되며, 이는 본 명세서에서 참조된다. 다른 적절한 크롬 에칭 프로세스가 이용될 수 있다.
단계(210)에서, 몰리브덴층(330)은 도 3e에 도시된 막스택(3005)에 도시된 에칭 마스크로서 상부에 제 1 레지스트층(308)이 패터닝되는 패터닝된 광-차단층(304)을 이용하여 에칭된다. 제 1 레지스트층(308)(및 존재하는 경우, 선택층(332))은 몰리브덴 에칭 프로세스 동안 광-차단층(304)에 형성된 개구부(322)의 상부 에지를 보호하여, 몰리브덴층(330)에 형성된 개구부(324)에 최소선폭(예를 들어, 개구부(322)의 폭(310'))의 보다 정확한 전사를 용이하게 한다. 또한, 패터닝된 제 1 레지스트층(308)은 매우 얇은 크롬 산화물 코팅을 보호한다. 크롬 산화물층이 에칭 동안 제거된다면, 광-차단층의 남아있는 크롬의 높은 반사율은, 하기 설명되는 바와 같이, 순차적인 리소그래피 프로세스에 악영향을 준다.
몰리브덴층(330)은 몰리브덴층(330)에 하부 석영층(302)을 노출시키는 개구부(324)를 형성하기 위해, (i) 하나 이상의 불소 함유 중합 재료, (ii) 염소 함유 가스, 및 선택적으로 (iii) 불활성 가스를 포함하는 프로세싱 가스에 의해 플라즈마 에칭될 수 있다. 중합 제한 또는 방지 가스가 프로세싱 가스에 포함될 수도 있다.
하나 이상의 불소 함유 가스는 하나 이상의 불소 함유 탄화수소, 수소 유리(free) 불소 함유 가스, 또는 이들의 조합을 포함할 수 있다. 하나 이상의 불소 함유 탄화수소는 일반식 CxHyFz을 가질 수 있으며, x는 탄소 원자의 1 내지 5의 정수이고, y는 수소 원자의 1 내지 8의 정수이고, z는 불소 원자의 1 내지 8의 정수이다. 불소 함유 탄화수소 가스의 예로는 CHF3, CH3F, CH2F2, C2HF5, C2H4F2 및 이들의 조합을 포함한다. 1 내지 2 의 탄소 원자, 1 내지 4의 수소 원자, 및 1 내지 5의 불소 원자를 갖는 CHF3와 같은 불소 함유 탄화수소 가스가 몰리브덴층(330)을 에칭하는 경우 사용될 수 있다.
수소 유리 탄화수소 가스는 1 내지 5의 탄소 원자 및 4 내지 8의 불소 원자를 포함할 수 있다. 수소 유리 탄화수소 가스의 예로는 CF4, C2F6, C4F6, C3F8, C4F8 , C5F8 및 이들의 조합을 포함한다. 선택적으로, 프로세싱 가스는 황 헥사불소(SF6)와 같은 황 불화물의 부가적인 에칭 가스를 포함할 수 있다.
불소 함유 가스는 패터닝된 레지스트 재료 및 에칭된 광학적으로 투과성있는 재료에 형성된 개구부의 표면, 특히 측벽상에 패시베이팅 폴리머 증착물을 형성하는데 바람직하게 사용된다. 패시베이팅 폴리머 증착물은 피쳐 한정부의 과도한 에칭을 방지하여, 몰리브덴층(330)에 예정된 최소선폭의 전사를 개선시킨다. 하나 이상의 불소 함유 탄화수소 가스로부터 형성된 플라즈마는 산화 가스의 존재 없이 기판(122) 상에 몰리브덴층(330)을 에칭하는 불소-함유 종을 산출한다.
염소-함유 가스는 염소(Cl2), 사염화탄소(CCl4), 염화수소(HCl), 및 이들의 조합의 그룹에서 선택되며 광학적으로 투과성있는 재료를 에칭하기 위해 반응성이 높은 라디칼을 공급하는데 사용된다. 염소-함유 가스는 에칭 라디칼의 소스를 제공하며 수소 또는 탄소-함유 염소-함유 가스는 에칭 바이어스를 개선시킬 수 있는 패시베이팅 폴리머 증착물을 형성하는 재료의 소스를 제공할 수 있다.
또한 프로세싱 가스는 불활성 가스를 포함할 수 있으며, 이들이 프로세싱 가스를 포함하는 플라즈마의 일부로서 이온화되는 경우, 피쳐 한정부의 에칭 속도를 증가시키는 스퍼터링 종이 발생된다. 플라즈마의 일부로서 불활성 가스의 존재는 프로세싱 가스의 분해를 강화시킬 수도 있다. 또한, 프로세스 가스에 첨가된 불활성 가스는 이온화된 스퍼터링 종을 형성하여 새롭게 에칭된 피쳐 한정부의 측벽들상에 형성된 임의의 폴리머 증착물을 추가로 스퍼터-오프(sputter-off)시킬 수 있어, 임의의 패시베이팅 증착물을 감소시키고 제어가능한 에칭 속도를 제공한다. 프로세싱 가스에 불활성 가스의 포함은 개선된 플라즈마 안정성 및 개선된 에칭 균일성을 제공한다. 불활성 가스의 예로는 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), 및 이들의 조합이 포함되며, 일반적으로는 아르곤과 헬륨이 사용된다.
일 실시예에서, 몰리브덴층(330)을 에칭하기 위한 프로세싱 가스는 염소(Cl2) 가스, 트리플루오로메탄(CHF3), 및 불활성 가스로서 아르곤을 포함할 수 있다. 선택적으로, 프로세싱 가스는 산소, 오존, 질소, 또는 이들의 조합과 같은 하나 이상의 중합화 제한 가스를 포함할 수 있으며, 기판 상에 패시베이팅 폴리머 증착물의 형성 및 제거를 제어함으로써 프로세싱 가스의 에칭 속도를 제어하는데 사용될 수 있다. 산소 함유 가스는 패시베이팅 증착물로서 에칭된 피쳐 한정부의 표면상에 증착되는 폴리머의 형성을 감소시키기 위해 다른 종과 반응하는 유리 산소 종의 형성을 강화시킨다. 예를 들어, 산소 가스는 CF2와 같은 플라즈마 프로세스 라디칼의 일부와 반응하여, 프로세싱 챔버로부터 배기되는 COF2와 같은 휘발성 라디칼을 형성한다.
불활성 가스 및 선택적 가스를 포함하는 프로세싱 가스의 전체 유속은 에칭 챔버에서 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하기 위해 약 15sccm 내지 약 200sccm 사이와 같은 약 15sccm 보다 큰 유속으로 주입된다. 염소 함유 가스는 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하기 위해 약 5sccm 내지 약 100sccm 사이의 유속으로 프로세싱 챔버로 주입된다. 불소 함유 가스가 프로세싱 챔버로 주입되는 경우, 약 1 sccm 내지 약 50 sccm 사이의 유속이 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하는데 사용된다. 불활성 가스가 프로세싱 챔버로 주입되는 경우, 약 0sccm 내지 약 100sccm 사이의 유속이 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하는데 사용될 수 있다. 선택적으로, 중합화 제한 가스가 프로세싱 챔버에 주입되는 경우, 약 1sccm 내지 약 100sccm 사이의 유속이 150mm×150mm 스퀘어 포토리소그래피 레티클 기판을 에칭하는데 사용된다. 프로세싱 가스의 개별 및 전체 가스 흐름은 프로세싱 챔버의 크기, 처리되는 기판의 크기 및 작동자에 의해 요구되는 특정 에칭 프로파일과 같이 다수의 프로세싱 요인을 기초로 가변될 수 있다.
일반적으로, 프로세싱 챔버 압력은 약 2milliTorr 내지 약 50milliTorr 사이로 유지된다. 약 3milliTorr 내지 약 20milliTorr 사이, 예를 들어, 3milliTorr 내지 10milliTorr의 챔버 압력이 에칭 프로세스 동안 유지될 수 있다.
단계(212)에서, 도 3f에 도시된 막스택(3006)에 도시된 것처럼 에칭 단계(210) 이후 남아있는 제 1 레지스트층(308) 및 선택적 보호층(332)이 제거된다. 일 실시예에서, 남아있는 레지스트 및 보호층은 애싱에 의해 제거된다. 예를 들어, 애싱은 도 1a의 프로세싱 챔버(192)에서(프로세싱 시스템(180)과 인슈트) 또는 에칭 단계(210)가 수행되는 프로세싱 챔버(100)에서 인슈트로 이루어질 수 있다.
단계(214)에서, 도 3g에 도시된 막스택(3007)에 도시된 것처럼 하부 광-차단층(304)을 노출시키도록 개구부(326)를 형성하기 위해 제 2 포토레지스트층(312)이 증착, 현상 및 패터닝된다. 단계(214)는 단계(204)를 참조로 상기 개시된 것처럼 수행될 수 있다. 일 실시예에서, 제 2 포토레지스트층(312)은 약 200nm 두께의 깊이로 증착되나, 임의의 두께일 수 있으며, 다른 실시예에서, 제 2 포토레지스트층(312)은 광-차단층(304)과 적어도 동일한 두께이다.
단계(216)에서, 패터닝된 제 2 포토레지스트층(312)이 도 3h에 도시된 막스택(3008)에 도시된 것처럼, 광-차단층(304)에 형성된 개구부(334)를 통해 하부 몰리브덴층(330)을 노출시키기 위해 광-차단층(304)의 에칭된 노출 부분에 대한 마스크로서 이용된다. 단계(216)는 단계(208) 및 선택적 단계(206)를 참조로 수행될 수 있다. 개구부(334)는 포토리소그래피 프로세스로 피쳐 한정부를 형성하는 방안을 개선시키고 회절(diffraction)을 감소시키기 위해 광이 통과하는 위상을(개구부(324)를 통과하는 광에 비해) 변조시키는 위상 이동 피쳐를 형성한다.
단계(218)에서, 제 2 포토레지스트층(312)은 감쇄 위상 이동 포토리소그래피 포토마스크(340)를 형성하기 위해 도 3i에 도시된 막스택(3009)에 도시된 것처럼 제거된다. 제 2 포토레지스트층(312)은 상기 단계(212)를 참조로 개시된 것처럼 제거된다. 사용시, 개구부(334)에 의해 형성된 포토마스크(340)의 영역을 통과하는 광은 개구부(324)에 의해 형성된 포토마스크(340)의 영역을 통과하는 광에 대해 180도 위상이 벗어난 파장을 갖는다.
종래 기술의 에칭 방법에 비해 에칭 방법(200)의 장점은 포토마스크를 제조하는 동안 마스크 층들 사이의 피쳐 폭을 보다 정확히 전사하여, 완성된 포토마스크의 CD의 우수한 제어가 가능하다는 것이다. 특히, 광-감쇄층을 에칭하는데 있어 복합 마스크의 사용은 에칭 바이어스를 최소화시켜, 제조 동안 포토마스크의 하부층에 CD의 정확한 전사를 초래한다. 따라서, 방법(200)의 사용은 작은 최소선폭의 제어 및 재현성이 요구되는 에칭 분야에 매우 바람직하다.
지금까지 본 발명의 실시예에 대해 설명되었지만, 본 발명의 다른 추가의 실시예들이 하기에 첨부되는 청구항에 의해 결정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 고안될 수 있다.

Claims (20)

  1. 프로세싱 챔버에 몰리브덴층, 광-차단층 및 패터닝된 제 1 레지스트층을 갖는 막스택을 제공하는 단계;
    에칭 마스크로서 상기 제 1 레지스트층을 이용하여 상기 광-차단층을 에칭하는 단계 - 상기 패터닝된 광-차단층 및 상기 패터닝된 제 1 레지스트층은 복합 마스크를 형성함 - ; 및
    에칭 마스크로서 상기 복합 마스크를 사용하여 상기 몰리브덴층을 에칭하는 단계
    를 포함하는 포토마스크 제조 방법.
  2. 제 1 항에 있어서,
    상기 광-차단층은 크롬 또는 크롬 산화물 중 하나 이상을 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  3. 제 1 항에 있어서,
    상기 몰리브덴층은 몰리브덴, 몰리브덴(Mo)이 도핑된 실리콘 질화물(SiN) 또는 몰리브덴 실리콘(MoSi) 중 하나 이상을 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  4. 제 1 항에 있어서, 상기 몰리브덴층을 에칭하는 단계는,
    가스 혼합물을 형성하기 위해 상기 프로세싱 챔버속으로 불소 함유 가스 및 염소 함유 가스를 흘려보내는 단계; 및
    상기 가스 혼합물로부터 플라즈마를 형성하는 단계를 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  5. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.
    제 4 항에 있어서,
    상기 몰리브덴층을 에칭하는 단계는, 상기 프로세싱 챔버속으로 불활성 가스를 흘려보내는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  6. 제 4 항에 있어서, 상기 불소 함유 가스를 흘려보내는 단계는,
    일반식 CxHyFz을 갖는 불소 함유 탄화불소 가스를 흘려보내는 단계를 더 포함하며, 상기 x는 탄소 원자의 1-5의 정수이고, y는 수소 원자의 1-8의 정수이고, z는 불소 원자의 1-8의 정수인 것을 특징으로 하는 포토마스크 제조 방법.
  7. 제 4 항에 있어서, 상기 불소 함유 가스를 흘려보내는 단계는,
    1-5의 탄소원자 및 4-8의 불소 원자를 갖는 수소-유리 탄화불소 가스를 흘려보내는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  8. 제 4 항에 있어서, 상기 염소 함유 가스를 흘려보내는 단계는,
    염소(Cl2), 및 사염화탄소(CCl4) 또는 염화수소(HCl) 중 하나 이상을 상기 프로세싱 챔버에 흘려보내는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  9. 제 1 항에 있어서, 상기 몰리브덴층을 에칭하는 단계는,
    가스 혼합물을 형성하기 위해 상기 프로세싱 챔버속으로 염소(Cl2) 가스, 트리플루오로메탄(CHF3), 및 아르곤을 흘려보내는 단계; 및
    상기 가스 혼합물로부터 플라즈마를 형성하는 단계를 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  10. 제 1 항에 있어서,
    상기 복합 마스크의 일부 또는 전부를 제거하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  11. 제 10 항에 있어서, 상기 몰리브덴층을 노출시키기 위해 상기 광-차단층을 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  12. 제 11 항에 있어서,
    상기 광-차단층을 패터닝하는 단계는, 상기 광-차단층상에 제 2 레지스트층을 패터닝하는 단계를 더 포함하며, 상기 몰리브덴층에 에칭된 하나 이상의 개구부는 패터닝 이후 상기 제 2 레지스트로 충진되어 유지되는 것을 특징으로 하는 포토마스크 제조 방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 몰리브덴층을 에칭하는 단계는 하부의 광학적으로 투과성있는 실리콘 기재 재료를 노출시키는 것을 특징으로 하는 포토마스크 제조 방법.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 광학적으로 투과성있는 실리콘 기재 재료는 석영인 것을 특징으로 하는 포토마스크 제조 방법.
  15. 제 1 항에 있어서,
    상기 광-차단층을 에칭하기 이전에 상기 제 1 레지스트층 상에 보호층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  16. 몰리브덴층을 포함하는 광학적으로 투과성있는 실리콘 기재 재료, 광-차단층 및 제 1 포토레지스트층에 복합 마스크를 갖는 막스택을 프로세싱 챔버에 제공하는 단계;
    상기 복합 마스크를 이용하여 상기 광학적으로 투과성있는 실리콘 기재 재료를 노출시키는 제 1 개구부를 형성하도록 상기 몰리브덴층을 플라즈마 에칭하는 단계;
    상기 광-차단층 상에 제 2 포토레지스트층을 증착하는 단계;
    상기 광-차단층 상에 제 2 포토레지스트층을 패터닝하는 단계 - 상기 제 2 포토레지스트층은 패터닝 이후 상기 제 1 개구부를 채움 - ; 및
    상기 몰리브덴층을 노출시키는 제 2 개구부를 형성하기 위해 에칭 마스크로서 상기 제 2 포토레지스트층을 사용하여 상기 광-차단층을 플라즈마 에칭하는 단계
    를 포함하는 포토마스크 제조 방법.
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제 16 항에 있어서,
    상기 몰리브덴층을 패터닝하는 단계는 상기 광-차단층을 에칭하기 이전에 상기 복합 마스크의 상기 제 1 레지스트층상에 컨포멀한 폴리머층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  18. 제 16 항에 있어서,
    상기 몰리브덴층은 몰리브덴, 몰리브덴(Mo)이 도핑된 실리콘 질화물(SiN) 또는 몰리브덴 실리콘(MoSi)중 하나 이상이며, 상기 광-차단층은 크롬을 포함하고, 상기 광학적으로 투과성있는 실리콘 기재 재료는 석영 또는 유리를 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  19. 청구항 19은(는) 설정등록료 납부시 포기되었습니다.
    제 16 항에 있어서,
    상기 몰리브덴층을 패턴닝 한 후에 그리고 상기 제 2 포토레지스트층을 증착하기 이전에 상기 제 1 포토레지스트층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 제조 방법.
  20. 크롬층, 몰리브덴층, 패터닝된 제 1 포토레지스트층 및 석영 재료층을 포함하는 막스택을 제공하는 단계;
    에칭 마스크로서 상기 패터닝된 제 1 포토레지스트층을 이용하여 상기 크롬층을 에칭하는 단계;
    상기 제 1 포토레지스트층 및 상기 크롬층을 통해 형성된 개구부를 통해 하부 석영 재료가 노출되도록 상기 몰리브덴층을 에칭하는 단계;
    상기 몰리브덴층 또는 크롬층 중 하나 또는 둘 모두가 에칭되는 프로세싱 챔버에서 인슈트로 상기 제 1 포토레지스트층을 제거하는 단계;
    상기 크롬층 상에 제 2 포토레지스트층을 증착하는 단계;
    상기 제 2 포토레지스트층을 패터닝하는 단계; 및
    상기 몰리브덴층을 노출시키기 위해 에칭 마스크로서 상기 제 2 포토레지스트층을 사용하여 상기 크롬층을 에칭하는 단계
    를 포함하는 포토마스크 제조 방법.
KR1020060007614A 2005-01-27 2006-01-25 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법 KR100822294B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/044,358 US8293430B2 (en) 2005-01-27 2005-01-27 Method for etching a molybdenum layer suitable for photomask fabrication
US11/044,358 2005-01-27

Publications (2)

Publication Number Publication Date
KR20060086864A KR20060086864A (ko) 2006-08-01
KR100822294B1 true KR100822294B1 (ko) 2008-04-16

Family

ID=36130055

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060007614A KR100822294B1 (ko) 2005-01-27 2006-01-25 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법

Country Status (5)

Country Link
US (2) US8293430B2 (ko)
EP (1) EP1686420A3 (ko)
JP (1) JP2006209126A (ko)
KR (1) KR100822294B1 (ko)
TW (1) TWI338332B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR100615583B1 (ko) * 2004-08-11 2006-08-25 삼성전자주식회사 노드 절연막 패턴에 구속된 상전이막 패턴을 갖는 피이.램의 형성방법들
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
CN101501568B (zh) * 2006-08-11 2013-07-10 奥立孔美国公司 最小化cd蚀刻偏差的方法
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
KR101294271B1 (ko) * 2006-08-30 2013-08-08 주식회사 에스앤에스텍 대면적 투과 제어 블랭크 마스크 및 이를 이용한 대면적 투과 제어 포토마스크의 제조방법
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
KR20080033589A (ko) * 2006-10-12 2008-04-17 삼성전자주식회사 금속 배선의 제조 방법 및 표시 기판의 제조 방법
US7871742B2 (en) * 2007-04-05 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for controlling phase angle of a mask by post-treatment
US20080286698A1 (en) * 2007-05-18 2008-11-20 Haoren Zhuang Semiconductor device manufacturing methods
JP5323526B2 (ja) * 2008-04-02 2013-10-23 Hoya株式会社 位相シフトマスクブランク及び位相シフトマスクの製造方法
KR100955681B1 (ko) * 2008-04-14 2010-05-06 주식회사 하이닉스반도체 자기조립분자를 이용한 포토마스크의 제조방법
KR101033354B1 (ko) * 2008-10-23 2011-05-09 주식회사 동부하이텍 반도체 소자의 미세패턴 형성방법
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US10048208B2 (en) * 2013-11-17 2018-08-14 Quantum-Si Incorporated Integrated device with external light source for probing detecting and analyzing molecules
US9660603B2 (en) * 2015-04-09 2017-05-23 Texas Instruments Incorporated Sloped termination in molybdenum layers and method of fabricating
EP3565788B1 (en) * 2017-01-05 2022-09-07 Magic Leap, Inc. Patterning of high refractive index glasses by plasma etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
WO2023091299A1 (en) * 2021-11-16 2023-05-25 Lam Research Corporation Silicon etch with organochloride

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030071194A (ko) * 2002-02-28 2003-09-03 주식회사 하이닉스반도체 이유브이 노광 공정용 위상반전마스크 및 그 제조방법

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4357195A (en) 1979-06-25 1982-11-02 Tegal Corporation Apparatus for controlling a plasma reaction
US4310380A (en) 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
EP0054736B1 (en) 1980-12-22 1985-05-22 Dai Nippon Insatsu Kabushiki Kaisha Photomask and photomask blank
GB2121198A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
JPS59162276A (ja) 1983-03-07 1984-09-13 Toshiba Corp 反応性イオンエツチング方法
JPS6050923A (ja) 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
US4484978A (en) 1983-09-23 1984-11-27 Fairchild Camera & Instrument Corp. Etching method
JPS6077429A (ja) 1983-10-04 1985-05-02 Asahi Glass Co Ltd ドライエツチング方法
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4741799A (en) 1985-05-06 1988-05-03 International Business Machines Corporation Anisotropic silicon etching in fluorinated plasma
US4666555A (en) 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
DE3613181C2 (de) 1986-04-18 1995-09-07 Siemens Ag Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten
JPS62253785A (ja) 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
US4726879A (en) 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US5643473A (en) 1987-07-31 1997-07-01 Hitachi, Ltd. Dry etching method
DE3733135C1 (de) 1987-10-01 1988-09-22 Leybold Ag Vorrichtung zum Beschichten oder AEtzen mittels eines Plasmas
GB2212974B (en) 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
US5316616A (en) 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
KR900013595A (ko) 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
DE3940083A1 (de) 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
US5160408A (en) 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
KR930004713B1 (ko) 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
JP2519364B2 (ja) 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JPH04303929A (ja) 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5358601A (en) 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
JP3024317B2 (ja) 1991-10-25 2000-03-21 日本電気株式会社 半導体装置の製造方法
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
JP2988122B2 (ja) 1992-05-14 1999-12-06 日本電気株式会社 ドライエッチング装置および半導体装置の製造方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5352324A (en) 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JP3064769B2 (ja) 1992-11-21 2000-07-12 アルバック成膜株式会社 位相シフトマスクおよびその製造方法ならびにその位相シフトマスクを用いた露光方法
US5674647A (en) 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
US5486706A (en) 1993-05-26 1996-01-23 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5691246A (en) 1993-05-13 1997-11-25 Micron Technology, Inc. In situ etch process for insulating and conductive materials
US5433823A (en) 1993-09-30 1995-07-18 Cain; John L. Selective dry-etching of bi-layer passivation films
JP3453435B2 (ja) 1993-10-08 2003-10-06 大日本印刷株式会社 位相シフトマスクおよびその製造方法
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5952128A (en) 1995-08-15 1999-09-14 Ulvac Coating Corporation Phase-shifting photomask blank and method of manufacturing the same as well as phase-shifting photomask
JPH0892765A (ja) 1994-09-22 1996-04-09 Tokyo Electron Ltd エッチング方法
EP0704886A1 (en) 1994-09-29 1996-04-03 Consorzio per la Ricerca sulla Microelettronica nel Mezzogiorno - CoRiMMe Process for etching cobalt silicide layers
EP0706070A3 (de) 1994-10-04 1997-04-02 Siemens Ag Verfahren zum Trockenätzen eines Halbleitersubstrats
JP3799073B2 (ja) 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
EP0729175A1 (en) 1995-02-24 1996-08-28 International Business Machines Corporation Method for producing deep vertical structures in silicon substrates
US5614060A (en) 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
JP3397933B2 (ja) 1995-03-24 2003-04-21 アルバック成膜株式会社 位相シフトフォトマスクブランクス、位相シフトフォトマスク、及びそれらの製造方法。
WO1996033697A1 (fr) * 1995-04-24 1996-10-31 Yissum Research Development Company Of The Hebrew University Of Jerusalem Formulation auto-emulsionnable formant une emulsion huile dans l'eau
US6693310B1 (en) 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5759921A (en) 1995-09-21 1998-06-02 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
FR2739494B1 (fr) 1995-09-29 1997-11-14 Suisse Electronique Microtech Procede de fabrication de pieces de micromecanique ayant une partie en diamant constituee au moins d'une pointe, et pieces de micromecanique comportant au moins une pointe en diamant
US5854136A (en) 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
KR100230981B1 (ko) 1996-05-08 1999-11-15 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US5874363A (en) 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5880033A (en) 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
JPH104084A (ja) * 1996-06-18 1998-01-06 Sony Corp 金属系膜のエッチング方法
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5965463A (en) 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6025271A (en) 1997-12-08 2000-02-15 Micron Technology, Inc. Method of removing surface defects or other recesses during the formation of a semiconductor device
US5933729A (en) 1997-12-08 1999-08-03 Advanced Micro Devices, Inc. Reduction of ONO fence during self-aligned etch to eliminate poly stringers
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JP3262529B2 (ja) 1997-12-19 2002-03-04 ホーヤ株式会社 位相シフトマスク及び位相シフトマスクブランク
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6037265A (en) 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000114246A (ja) 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP2000098582A (ja) 1998-09-17 2000-04-07 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス、位相シフトフォトマスク及びそれらの製造方法、並びに該ブランクスの製造装置
US6312616B1 (en) 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
KR100311234B1 (ko) 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
JP3531666B2 (ja) 1999-03-19 2004-05-31 シャープ株式会社 位相シフトマスク及びその製造方法
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6682861B2 (en) 1999-09-30 2004-01-27 Photronics, Inc. Disposable hard mask for phase shift photomask plasma etching
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6193855B1 (en) 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP2001201842A (ja) 1999-11-09 2001-07-27 Ulvac Seimaku Kk 位相シフトフォトマスクブランクス及び位相シフトフォトマスク並びに半導体装置の製造方法
US6221784B1 (en) 1999-11-29 2001-04-24 Applied Materials Inc. Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6403267B1 (en) 2000-01-21 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for high transmittance attenuated phase-shifting mask fabrication
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP4686006B2 (ja) 2000-04-27 2011-05-18 大日本印刷株式会社 ハーフトーン位相シフトフォトマスクとハーフトーン位相シフトフォトマスク用ブランクス、及びハーフトーン位相シフトフォトマスクの製造方法
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
KR20020009410A (ko) 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
JP3818171B2 (ja) * 2002-02-22 2006-09-06 Hoya株式会社 位相シフトマスクブランク及びその製造方法
DE10100822C2 (de) 2001-01-10 2003-04-10 Infineon Technologies Ag Plasmaätzverfahren für MoSi(ON)-Schichten
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
JP2002351046A (ja) 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US20040072081A1 (en) 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US20040086787A1 (en) 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7179754B2 (en) 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030071194A (ko) * 2002-02-28 2003-09-03 주식회사 하이닉스반도체 이유브이 노광 공정용 위상반전마스크 및 그 제조방법

Also Published As

Publication number Publication date
EP1686420A3 (en) 2008-06-04
JP2006209126A (ja) 2006-08-10
TWI338332B (en) 2011-03-01
US8293430B2 (en) 2012-10-23
KR20060086864A (ko) 2006-08-01
US20130040231A1 (en) 2013-02-14
EP1686420A2 (en) 2006-08-02
US20060166108A1 (en) 2006-07-27
TW200636865A (en) 2006-10-16

Similar Documents

Publication Publication Date Title
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
KR101196617B1 (ko) 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
JP5449642B2 (ja) デュアル周波数バイアスを具備する化学気相堆積チャンバおよびこれを使用するフォトマスク製造方法
US7829471B2 (en) Cluster tool and method for process integration in manufacturing of a photomask
US7879510B2 (en) Method for quartz photomask plasma etching
JP2006215552A5 (ko)
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
US7635546B2 (en) Phase shifting photomask and a method of fabricating thereof
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
LAPS Lapse due to unpaid annual fee