KR100311234B1 - 고품위 유도결합 플라즈마 리액터 - Google Patents

고품위 유도결합 플라즈마 리액터 Download PDF

Info

Publication number
KR100311234B1
KR100311234B1 KR1019990001238A KR19990001238A KR100311234B1 KR 100311234 B1 KR100311234 B1 KR 100311234B1 KR 1019990001238 A KR1019990001238 A KR 1019990001238A KR 19990001238 A KR19990001238 A KR 19990001238A KR 100311234 B1 KR100311234 B1 KR 100311234B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
power
coil
power supply
Prior art date
Application number
KR1019990001238A
Other languages
English (en)
Other versions
KR20000051006A (ko
Inventor
오범환
박세근
정재성
김철호
Original Assignee
학교법인 인하학원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 학교법인 인하학원 filed Critical 학교법인 인하학원
Priority to KR1019990001238A priority Critical patent/KR100311234B1/ko
Priority to JP11042095A priority patent/JP3097957B2/ja
Priority to US09/273,996 priority patent/US6022460A/en
Publication of KR20000051006A publication Critical patent/KR20000051006A/ko
Application granted granted Critical
Publication of KR100311234B1 publication Critical patent/KR100311234B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 고품위 유도결합 플라즈마 리액터로서, 생성된 플라즈마를 유지할 수 있는 공간을 형성하며 플라즈마 반응 공정을 수행하는 챔버와, 상기 챔버 내에 플라즈마를 형성하는데 필요한 고주파 전력을 공급하는 전력공급부와, 고주파 전력공급부와 부하사이의 전력을 유효하게 전송하여 전력전송을 최적화 시키는 정합장치와, 상기 챔버 내에 플라즈마를 형성하기 위해 상기 전력공급부로부터 출력되는 고주파 전력을 공급받아 전기장 및 자기장을 생성하는 안테나와, 상기 챔버의 외부에 웨이퍼를 중심으로 대칭인 코일을 상하에 설치하고 저주파 및 저전류를 인가함으로써 반응챔버 내부에 축방향으로 일정한 크기(예: 30가우스 미만)의 약자기장을 인가해주는 헬름홀츠 코일과, 상기 챔버 내부에 형성되는 플라즈마 반응에 의해 처리되는 대상물을 지지하고 온도 유지를 위한 웨이퍼 스테이지 및 지지대와, 이온 에너지 조절용 바이어스 RF 전력공급부와 바이어스 RF 전력공급부의 최대 전력전달을 위한 정합장치를 포함하여 구성되며, 상기 헬름홀츠 코일에 직류와 교류를 조합하여 시간에 따라 변하는 축방향 자기장을 다양한 주기로 조절함으로써 생성된 플라즈마를 효과적으로 흔들며 에너지를 공급하는 공명 효과를 발생함으로 인해 플라즈마 밀도는 증가시키고, 전자온도는 낮추며, 실제 애싱이나 에칭공정에서 기존의 플라즈마 상태에서의 공정보다 향상된 균일도와 제거율 등을 얻을 수 있는 것이다.

Description

고품위 유도결합 플라즈마 리액터{Enhanced inductively coupled plasma reactor}
본 발명은 고품위 유도결합 플라즈마 리액터(enhanced inductively coupled plasma reactor), 특히 헬름홀츠 코일(Helmholtz coil)에 의해 생성되는 축방향 자기장의 주기적 단속을 이용한 고품위 유도결합 플라즈마 리액터에 관한 것이다.
일반적으로, 플라즈마는 이온화된 기체 상태로서 중성 기체에서와 같이 가까운 두 입자간의 충돌로서 서로간의 영향을 받는 것과 달리 멀리 떨어진 입자간에도 쿨롱힘으로 서로 영향을 주고받아 집단적 행동을 하며 양전하 및 음전하가 균형을 이루어 전체적으로는 준중성의 성질을 가진다.
이러한 플라즈마에 대한 연구는 산업의 발달과 더불어 자연계에 존재하는 플라즈마의 연구뿐만 아니라 그 응용범위도 매우 넓어져 광원 및 디스플레이 소자에서 제어 핵융합로, 부가가치 박막증착, 고온의 열원 및 오염물 처리에 이르기까지 현대 정보화사회의 근간을 이루고 있는 여러 산업에 그 응용은 이미 필수 불가결한 요소로 자리잡고 있다.
플라즈마는 기체 압력, 전리도, 온도, 밀도 등에 따라 매우 다양한 특성을 보이는데 여러 플라즈마 중에서 글로우 방전의 경우에는 일반적으로 동작 압력이 낮고 전자-중성자 입자 또는 전자-이온간의 충돌 주파수가 각 종들간의 열적 평형을 이루기에 불충분하여 전자의 온도는 상당히 높은 반면, 이온이나 중성 입자의 온도는 그다지 높지 않아 저온 플라즈마라 한다. 반도체 소자의 가공은 플라즈마 화학 기상 증착(PECVD), 반응성 이온 식각(RIE), 스퍼터링 등의 형태로서 거의 대부분 이 영역에서 이루어진다.
반응성 이온 식각에 사용되는 플라즈마 장치는 두 개의 전극 사이에 수백 ㎑에서 수십 ㎒에 이르는 무선 주파수 전압을 인가하는 다이오드 형태의 용량 결합형 플라즈마(CCP)가 주종을 이루었으나, 반도체 소자의 집적화가 계속 진전되고 최소 선폭이 0.5㎛ 이하로 줄어들면서 용량 결합형 플라즈마의 건식 식각 응용에 대한 문제점들이 대두되었다.
이러한 문제점들을 해결하기 위한 방안으로 전자 회전 공명을 이용하는 ECR 플라즈마, 헬리콘 또는 휘슬러 파를 이용하는 헬리콘 플라즈마, 느린 파를 여기시키는 헬리칼 공진기, 유도 결합 플라즈마, 전자빔 여기 플라즈마 등의 여러 형태의 저압 고밀도 플라즈마 소스들이 제안되어 식각 공정에 적용되거나 상용화되었다.
이러한 저압 고밀도 플라즈마 장치들의 기본 개념은 제어 핵융합과 같은 고에너지 밀도를 갖는 플라즈마의 연구에서 파생되어 적절한 입력 전력의 조절로 반도체 가공 공정에 응용된 경우가 많고, 공통적으로 평판 전극형 플라즈마에 비해 높은 이온화 효율을 가지며 플라즈마를 발생시키는 부분과 전극에 바이어스를 인가하는 부분이 분리되어 있어 비교적 독립적으로 이온 에너지의 조절이 가능하다는 특징이 있다.
상술한 플라즈마 소스들 중에서 평면형 유도결합 플라즈마는 도 1에 도시된 바와 같이 대면적화가 용이하고 장치의 구조가 매우 간단하다는 장점을 지니고 있어 최근 활발히 연구되고 있으며 이를 폴리 실리콘이나 알루미늄 식각에 이용한 상용 장비가 나와 있다.
그러나, 현재까지 개발된 유도결합 플라즈마는 챔버 내부의 일정 부분 즉 웨이퍼의 상부에서는 밀도가 균일하여 식각 등 여러 반도체 공정에 유용하지만, 웨이퍼가 있는 챔버의 중앙 부분을 벗어나서 벽 쪽으로 갈수록 플라즈마의 밀도가 균일하지 않아 웨이퍼를 대면적화하는데 한계가 있으며 낮은 압력에서 전자 온도의 증가로 인하여 초미세 패턴 식각시에 전하 집중(charge accumulation)이라는 심각한 문제점과 이로 인해 발생하는 노치 효과(notch effect), 마이크로로딩효과(microloading effect), 마이크로트렌치(microtrench) 등 여러 문제점이 발생되었다.
따라서, 상술한 바와 같은 종래 기술의 문제점을 해소하기 위한 본 발명의 목적은 챔버 주위에 헬름홀츠 코일을 상하로 설치하고 이들 코일에 직류와 교류를 조합하여 약한 축방향 자기장을 간헐적으로 조절하여 생성된 플라즈마 모드를 흔들어 줌으로써 일정 주파수에서 고밀도의 균일한 플라즈마를 생성하는 고품위 유도결합 플라즈마 리액터를 제공하는 것이다.
도 1은 통상적인 유도결합 플라즈마 리액터의 시스템 구성을 개략적으로 도시하는 도면,
도 2는 본 발명의 양호한 실시예에 따른 고품위 유도결합 플라즈마 리액터의 시스템 구성을 도시하는 도면,
도 3은 본 발명의 양호한 실시예에 따른 전류 변화와 이온 밀도 변화의 관계를 도시하는 그래프,
도 4는 본 발명의 양호한 실시예에 따른 전류 변화와 전자 온도 변화의 관계를 도시하는 그래프,
도 5는 본 발명의 양호한 실시예에 따른 주파수 변화와 이온 밀도 변화의 관계를 도시하는 그래프,
도 6은 본 발명의 양호한 실시예에 따른 주파수 변화와 전자 온도 변화의 관계를 도시하는 그래프,
도 7은 본 발명의 양호한 실시예에서 주파수 변화에 따른 감광제 제거를 도시하는 그래프,
도 8은 본 발명의 양호한 실시예에서 주파수 변화에 따른 감광제 제거 균일도를 도시하는 그래프,
도 9는 본 발명의 양호한 실시예에서 압력 변화에 따른 감광제 제거를 도시하는 그래프,
도 10은 본 발명의 양호한 실시예에서 압력 변화에 따른 감광제 제거 균일도를 도시하는 그래프.
<도면의 주요 부분에 대한 부호의 설명>
10 : RF 전력부20 : 매칭 박스
30 : 안테나 40 : 웨이퍼
50 : 웨이퍼 스테이지 및 지지대60 : 챔버
70 : 헬름홀츠 코일80 : 코일 전력공급 및 제어부
90 : 매칭 박스 100 : 바이어스 RF 전력부
상기 목적을 달성하기 위한 본 발명의 고품위 유도결합 플라즈마 리액터의 특징은, 고품위 유도결합 플라즈마 리액터에 있어서, 생성된 플라즈마를 유지할 수 있는 공간을 형성하며 플라즈마 반응 공정을 수행하는 챔버와, 상기 챔버 내에 플라즈마를 형성하는데 필요한 고주파 전력을 공급하는 전력공급부와, 고주파 전력공급부와 부하사이의 최대전력 전달을 위한 정합장치와, 상기 챔버 내에 플라즈마를 형성하기 위해 상기 전력공급부로부터 출력되는 고주파 전력을 공급받아 전기장 및 자기장을 생성하는 안테나와, 상기 챔버의 외부에 웨이퍼를 중심으로 대칭인 코일이 상하로 두 개가 설치되며 상기 전기장 및 자기장에 의해 형성되는 플라즈마를 저주파, 저전류에 의해 시간에 따라 변하며 축방향으로 균일하게 약한 자기장을 인가함으로써 생성된 플라즈마를 흔들어 주는 헬름홀츠 코일과, 상기 챔버 내부에 형성되는 플라즈마 반응 공정에 의해 처리되는 대상물을 지지 및 온도를 유지하는 웨이퍼 스테이지 및 지지대와 이온에너지 조절용 RF 바이어스 전력부를 포함하는 데 있다.
상기 목적을 달성하기 위한 본 발명의 고품위 유도결합 플라즈마 리액터의 다른 특징은 상술한 특징에, 상기 헬름홀츠 코일에 저주파 전력을 공급하는 코일 전력공급부와, 상기 코일 전력공급부의 전력 공급을 주기적으로 제어하는 전력제어부를 더 포함하는 데 있다.
본 발명의 상술한 목적과 여러 가지 장점은 이 기술 분야에 숙련된 사람들에 의해 첨부된 도면을 참조하여 후술되는 발명의 바람직한 실시예로부터 더욱 명확하게 될 것이다.
이하, 첨부한 도면을 참조하여 본 발명에 따른 바람직한 일 실시 예를 살펴보기로 한다.
도 2는 본 발명의 양호한 실시예에 따른 고품위 유도결합 플라즈마 리액터의 시스템 구성을 도시하는 도면이다. 상기 고품위 유도결합 플라즈마 리액터는 RF 전력부(10), 매칭 박스(20), 안테나(30), 웨이퍼(40), 웨이퍼 스테이지 및 지지대(50), 챔버(60), 헬름홀츠 코일(70), 코일 전력공급 및 제어부(80)와, 매칭 박스(90), 및 바이어스 RF 전력부(100)를 포함한다.
RF 전력부(10)는 플라즈마를 발생시키기에 충분한 크기인 2㎒ 또는 13.56㎒의 고주파 전력을 공급한다.
매칭 박스(20)는 고주파 전원인 RF 전력부와 부하 사이에 설치되며 상기 고주파 전력의 전송을 최적화 한다. 플라즈마를 효과적으로 발생시키기 위해서는 고주파 전력 발생기와 반응기 시스템의 임피던스를 정합시켜 반응기, 예를 들면 안테나로부터 반사되어 나오는 반사파 전력을 최소화하여야 하며, 공정에 따라 시스템 임피던스가 변화하기 때문에 반사파의 정도에 따라 자동적으로 조절되는 자동 정합기의 사용이 효과적이다.
안테나(30)는 저항 손실을 최소화하기 위해 전기 전도도가 좋은 재료를 써야 하며 일반적으로는 무산소 구리를 많이 쓴다. RF 전류는 전도 영역이 표피에 한정되므로 표면을 금, 은 등으로 도금하면 안테나에서의 저항 손실을 더욱 줄일 수 있다. 그리고, 장시간의 플라즈마 운전시 발생하는 저항 가열에 의한 코일의 과열을 막기 위해 냉각 라인을 설치하였다. 안테나의 모양은 발생하는 전기장 및 자기장이 가능한 균일하게 형성되도록 여러 형태를 가질 수 있으며, 예를 들면 평판형 또는 벨자형일 수 있다.
웨이퍼(40)는 능동 소자 또는 집적회로를 만들기 위한 얇은 기판으로서 실리콘 기판뿐만 아니라 다양한 플라즈마 가공 대상물을 사용할 수도 있다.
웨이퍼 스테이지 및 지지대(50)는 후술하는 챔버의 내부, 양호하게는 중앙부에 형성되며 상기 웨이퍼를 지지한다. 그 뿐만 아니라, 상기 웨이퍼 지지대에는 과열된 플라즈마에 의한 웨이퍼의 파손을 막기 위한 냉각 라인, 웨이퍼 지지대의 높낮이를 조절하기 위한 높낮이 조절장치 및 생성된 플라즈마의 양이온을 웨이퍼 쪽으로 하강시키기 위한 이온 에너지 조절용 RF 전력부가 설치되어 있다.
챔버(60)는 생성된 플라즈마를 유지할 수 있는 공간을 형성하며 플라즈마 반응 공정을 수행한다.
헬름홀츠 코일(70)은 상기 챔버의 외부에 두 개가 적절한 거리를 두고 설치되며 상기 안테나로부터 생성되는 전기장 및 자기장을 챔버 내의 거의 전 영역에서 균일하게 한다. 이러한 코일은 일정한 거리를 두고 반경이 R인 두 코일이 대칭을 이룬 형태의 코일이다. 두 코일의 직경, 감은 횟수, 임피던스, 순수저항 등이 같아야 한다. 코일 간의 거리, 전류, 코일의 감은 횟수에 따라 내부 자기장의 분포가 변화하게 된다.
또한 상기 헬름홀츠 코일(70)은 코일의 반경과 두 코일간의 거리가 동일한 것을 특징으로 하는 헬름홀츠 코일의 정의에서 코일의 반경과 두 코일간의 거리를 변화시켜줌으로써 생성된 플라즈마 상태를 변화시킬 수 있다.
코일 전력공급 및 제어부(80)는 상기 챔버 내에 약한 자기장을 생성하기 위해 상기 헬름홀츠 코일에 저전력을 공급하며 이러한 전력 공급을 주기적으로 제어한다. 상기 저전력을 공급받는 헬름홀츠 코일은 상하로 두 개가 있으며 각각 교류와 직류를 공급받는다.
먼저, 상부 코일에는 교류, 하부 코일에는 직류 자기장을 형성할 때(AC & DC로 나타냄), 상부 코일에는 저주파, 양호하게 주어진 예의 시스템에서는 100㎐ 미만의 교류 전류를 공급하며 하부 코일에는 직류 전류를 공급한다. 반면, 상부 코일에는 직류, 하부 코일에는 교류 자기장을 형성할 때(DC & AC로 나타냄), 상부 코일에는 직류 전류를 공급하며 하부 코일에는 저주파, 양호하게는 100㎐ 미만의 교류 전류를 공급한다.
이와 같이 두 개의 헬름홀츠 코일에 각각 교류와 직류를 공급하여 축방향의 약한 자기장을 생성하고 이 자기장을 안테나로부터 공급되는 고주파 전력에 의해 생성되는 전기장 및 자기장이 만들어 내는 플라즈마에 적용함으로써 이 플라즈마를 챔버 내부에서 흔들어 줌으로써 플라즈마의 균일도도 증가시키고 플라즈마의 밀도 증가와 더불어 전자 온도의 감소라는 획기적인 결과를 보이고 있다.
정합 장치(90)는 바이어스 RF 전력부의 전력 전달을 극대화한다.
또한, 바이어스 RF 전력부(100)는 이온에너지 조절용으로써 바이어스 RF 전력부로써 웨이퍼 스테이지(전극)에 연결되어 이온 도움 공정을 수행할 수 있다.
상술한 구성을 갖는 본 발명에 따른 고품위 유도결합 플라즈마 리액터는 식각 또는 스퍼터 또는 화학증착 등의 일련의 플라즈마를 이용한 공정에 다양하게 적용될 수 있다.
이하, 본 발명에 따른 고품위 유도결합 플라즈마 리액터의 동작을 식각 기능을 중심으로 살펴보면 다음과 같다.
먼저, 챔버의 중앙부에 식각 마스크가 형성된 웨이퍼를 상기 웨이퍼 지지대에 장착한다. 도시되지 않은 진공 펌프의 동작에 의해 챔버 내의 압력을 일정하게 유지되도록 한다. 그리고, 도시되지 않은 밸브를 통해 상기 챔버 내로 식각 가스가 공급된다.
그 후, 상기 RF 전력부를 통해 플라즈마를 발생시키기에 충분한 크기인 2㎒ 또는 13.56㎒의 고주파 전력이 발생되어 매칭 박스로 공급된다. 그 후, 매칭 박스로 공급된 전력은 전력 전송이 최적화 하도록 정합된 후 안테나로 공급된다. 안테나는 일반적으로 평판형으로서 나선 모양을 하고 있다. 안테나가 이러한 모양을 하는 것은 가능한 공급 가스를 균일하게 플라즈마 상태로 만들기 위한 것이다.
이와 같이 안테나에서 고주파 전력에 의해 생성된 전기장 및 자기장은 상기 식각 가스를 이온화시키고 생성된 플라즈마를 계속 유지하는 기능을 한다. 그러나, 안테나에서 공급되는 전자기장 에너지는 플라즈마의 고전도율에 의해 제한 받아 플라즈마 밀도의 향상에 한계가 있다.
이를 극복하기 위해 약한 축방향 자기장을 인가하여 새로운 모드를 생성하면 플라즈마 밀도가 약간 향상되는 것이 알려져 있으나 균일도의 향상에는 한계가 있었다. 따라서 식각시 제거율과 균일도를 증가시키기 위한 새로운 방법이 필요하다. 이를 위해 본 발명에서는 두 개의 헬름홀츠 코일을 챔버의 외벽 상하에 휘감아 놓고 있다.
특히, 위에서 아래 또는 아래에서 위로 축방향 교류 자기장이 생기도록 한쪽에는 교류 전원을, 다른 한쪽에는 직류 전원을 연결하여 직류를 중심으로 교류에 의한 소용돌이 효과를 내고 있다. 이로 인해 플라즈마를 자화함으로써 여러 가지 주파수를 가진 전자기파를 플라즈마 내부로 여기 시킴으로써 분산관계의 변화를 이끌 수 있고, 모드의 공명에 의한 효과적인 전력전달을 이루며, 시간에 따라 변하는 자기장을 이용하여 플라즈마 자체를 효과적으로 흔들어 주게된다.
이러한 효과는 양호한 실시예에 보인 실험장비의 경우 교류 전원의 주파수가 40㎐일 때에 특히 현저하여 플라즈마 밀도가 증가하고 전자 온도가 감소하는 획기적인 결과를 나타내었다.
도 3은 본 발명의 양호한 실시예에 따른 전류 변화와 이온 밀도 변화의 관계를 도시하는 그래프이며, 도 4는 본 발명의 양호한 실시예에 따른 전류 변화와 전자 온도 변화의 관계를 도시하는 그래프이다.
즉, 이들 도면은 상부 코일에 교류 자기장을 형성하고 하부 코일에 직류 자기장을 형성할 때(AC & DC로 나타냄)와 그 반대의 경우(DC & AC로 나타냄)에 이온 밀도와 전자 온도를 각각 비교한 실험 결과이다. AC & DC의 경우에 이온 밀도는 전류의 증가에 따라 선형적으로 증가하고 있지만, DC & AC의 경우에는 증가율이 AC & DC의 경우에 비해 적다. 전자 온도의 경우에는 교류 자기장이 인가되는 두 조건에 대해 1eV 수준의 낮고 안정된 경향을 유지하였고 특정한 조건에서 전자의 운동에너지가 낮아지고 안정되는 것을 확인하였다.
도 5는 본 발명의 양호한 실시예에 따른 주파수 변화와 이온 밀도 변화의 관계를 도시하는 그래프이다. 특히, 도 5는 1.7A(약 15가우스)의 전류에 대해 세 위치에서의 주파수 변화에 따른 이온 밀도의 변화를 보여주고 있다.
0㎐에서 주파수가 증가함에 따라 챔버 중심에서는 증가와 감소를 반복하고 있으며, 40㎐ ∼ 50㎐ 사이에서 이온 밀도가 가장 높아졌고 특정 주파수에서 이온 밀도가 낮은 챔버 벽 쪽의 밀도를 증가시킬 수 있음을 확인하였다.
도 6은 본 발명의 양호한 실시예에 따른 주파수 변화와 전자 온도 변화의 관계를 도시하는 그래프이다. 특히, 도 6은 1.7A(약 15가우스)의 전류에 대해 중심에서의 주파수 변화에 따른 전자 온도의 변화를 보여주고 있다. 주파수 증가에 따라 위의 이온 밀도와 비슷하게 증가와 감소를 반복하고 있으며, 40㎐를 기점으로 전자 온도가 가장 낮은 것을 볼 수 있다.
1eV 수준의 비교적 낮은 전자 온도와 챔버 벽 부분에 미량의 이온 밀도 향상으로 에칭시 웨이퍼 표면에서 발생하는 문제점을 최소화하고, 균일도 증가가 가능할 것이라 예상하고 감광제 제거 공정을 수행하였다.
도 7은 본 발명의 양호한 실시예에서 주파수 변화에 따른 감광제 제거를 도시하는 그래프이며, 도 8은 본 발명의 양호한 실시예에서 주파수 변화에 따른 감광제 제거 균일도를 도시하는 그래프이다.
도 7 및 8에서 알 수 있는 바와 같이, 40㎐에서 가장 양호한 애싱 레이트와 균일도를 얻을 수 있었고, 이온 밀도가 높고 전자 온도가 낮을 경우에 감광제가 균일하게 효율적으로 제거되었다.
따라서, 본 발명의 교류 자기장에 의해 개선된 유도결합형 플라즈마는 종래의 유도결합형 플라즈마보다 높은 플라즈마 밀도와 낮은 전자 온도라는 특성을 가지고 있어 에칭 공정중에 발생하는 여러 문제들을 효과적으로 방지하는 것이다.
도 9는 본 발명의 양호한 실시예에서 압력 변화에 따른 감광제 제거를 도시하는 그래프이며, 도 10은 본 발명의 양호한 실시예에서 압력 변화에 따른 감광제 제거 균일도를 도시하는 그래프이다.
도 9 및 10은 압력 변화에 따른 세 조건의 감광제 제거공정 결과이다. 압력이 증가함에 따라 세 조건 모두에 대해 애싱 레이트가 증가하였지만, 40㎐에서는 다른 두 경우에 비해 월등히 높음을 알 수 있다. 균일도 또한 40㎐에서 항상 5%이하를 유지하고 있으며, 특히 20mTorr에서 1% 이하를 나타내는 놀라운 결과를 나타내었다.
상술한 바와 같이 본 발명을 바람직한 실시예를 들어 설명하였지만, 본 발명은 이에 한정되지 아니하며 본 발명의 기술적 사상을 벗어나지 않는 범위에서 다양한 한정이나 부가 등을 가하여 본 발명을 여러 가지 다양한 형태로 구체화할 수 있음은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게는 자명한 것이다.
상술한 바와 같이 동작하는 본 발명에 따른 고품위 유도결합 플라즈마 리액터 및 그 제어 방법은 챔버 주위에 헬름홀츠 코일을 상하로 설치하고 이들 코일에 직류와 교류를 조합하여 축방향 자기장을 간헐적으로 조절하여 플라즈마 모드의 공명을 유발함으로써 애싱이나 에칭 공정 등에 여러 장점이 있는 특정 주파수에서 고밀도의 균일한 플라즈마를 생성하는 효과를 갖는다.

Claims (3)

  1. 고품위 유도결합 플라즈마 리액터에 있어서,
    생성된 플라즈마를 유지할 수 있는 공간을 형성하며 플라즈마 반응 공정을 수행하는 챔버와,
    상기 챔버 내에 플라즈마를 형성하는데 필요한 고주파 전력을 공급하는 전력공급부와,
    상기 챔버 내에 플라즈마를 형성하기 위해 상기 전력공급부로부터 출력되는 고주파 전력을 공급받아 전기장 및 자기장을 생성하는 제반 형태의 안테나와,
    웨이퍼를 중심으로 상기 챔버의 외부에 대칭된 두 개의 코일을 상하로 두고 설치되며 상기 안테나에 의해 형성되는 플라즈마를 저주파, 저전류에 의해 축방향으로 인가하는 약한 자기장의 간헐적 단속으로 흔들어 줌으로써 플라즈마 밀도는 증가시키고, 균일도를 향상시키게 하는 헬름홀츠 코일과,
    상기 두 헬름홀츠 코일중 하나의 코일에는 직류전원을 나머지 하나의 코일에는 교류전원을 공급하는 코일 전력 공급부와,
    상기 코일 전력공급부의 전력 공급을 주기적으로 제어하는 전력제어부와,
    상기 챔버 내부에 형성되며 상기 플라즈마 반응 공정에 의해 처리되는 대상물을 지지 및 온도를 유지하는 웨이퍼 스테이지 및 지지대와,
    웨이퍼 스테이지(전극)에 연결되는 이온에너지 조절용 바이어스 RF 전력부와
    상기 바이어스 RF 전력부의 최적화된 전력을 전달해 주기 위한 정합 장치를 포함하는 고품위 유도결합 플라즈마 리액터.
  2. 제1항에 있어서,
    상기 헬름홀츠 코일에 공급되는 저주파는 100㎐ 미만인 것을 특징으로 하는 고품위 유도결합 플라즈마 리액터.
  3. 제1항 또는 제3항에 있어서,
    상기 헬름홀츠 코일은 코일의 반경과 두 코일간의 거리가 동일한 것을 특징으로 하는 헬름홀츠 코일의 정의에서 코일의 반경과 두 코일간의 거리를 변화시켜줌으로써 생성된 플라즈마 상태를 변화시킬 수 있는 고품위 유도결합 플라즈마 리액터
KR1019990001238A 1999-01-18 1999-01-18 고품위 유도결합 플라즈마 리액터 KR100311234B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019990001238A KR100311234B1 (ko) 1999-01-18 1999-01-18 고품위 유도결합 플라즈마 리액터
JP11042095A JP3097957B2 (ja) 1999-01-18 1999-02-19 高品位誘導結合プラズマリアクター
US09/273,996 US6022460A (en) 1999-01-18 1999-03-22 Enhanced inductively coupled plasma reactor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990001238A KR100311234B1 (ko) 1999-01-18 1999-01-18 고품위 유도결합 플라즈마 리액터

Publications (2)

Publication Number Publication Date
KR20000051006A KR20000051006A (ko) 2000-08-16
KR100311234B1 true KR100311234B1 (ko) 2001-11-02

Family

ID=19571554

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990001238A KR100311234B1 (ko) 1999-01-18 1999-01-18 고품위 유도결합 플라즈마 리액터

Country Status (3)

Country Link
US (1) US6022460A (ko)
JP (1) JP3097957B2 (ko)
KR (1) KR100311234B1 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6558564B1 (en) * 2000-04-05 2003-05-06 Applied Materials Inc. Plasma energy control by inducing plasma instability
DE10018143C5 (de) * 2000-04-12 2012-09-06 Oerlikon Trading Ag, Trübbach DLC-Schichtsystem sowie Verfahren und Vorrichtung zur Herstellung eines derartigen Schichtsystems
DE60117719T2 (de) * 2000-06-26 2006-11-16 Samsung Electronics Co., Ltd. Elektromagnetisches X-Y Positioniersystem für Nanodatenspeichersystem und Verfahren zur Herstellung von Spulen für dasselbige
KR100797385B1 (ko) * 2000-10-19 2008-01-24 로베르트 보쉬 게엠베하 유도 결합 플라즈마를 이용한 기판의 에칭 장치 및 방법
GB2385709B (en) * 2000-10-19 2004-06-23 Bosch Gmbh Robert Device and method for etching a substrate by means of an inductively coupled plasma
KR100510279B1 (ko) * 2001-04-12 2005-08-30 (주)울텍 고밀도 플라즈마 발생원 및 방법
KR100620178B1 (ko) * 2001-08-10 2006-09-04 동부일렉트로닉스 주식회사 플라즈마 식각장치의 식각 부산물 제거방법
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
JP3820188B2 (ja) 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
TWI240601B (en) * 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US20060105583A1 (en) * 2004-11-17 2006-05-18 Asm Japan K.K. Formation technology of nano-particle films having low dielectric constant
KR100683416B1 (ko) * 2004-11-25 2007-02-20 피에스케이 주식회사 플라즈마 챔버 시스템 및 이를 이용하여 저유전막을 갖는기판 상에 형성된 포토레지스트 패턴을 애싱하는 방법
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
KR100848574B1 (ko) * 2006-08-31 2008-07-25 유정호 플라즈마 리액터
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
KR101705937B1 (ko) 2011-01-25 2017-02-10 에베 그룹 에. 탈너 게엠베하 웨이퍼들의 영구적 결합을 위한 방법
WO2012136267A1 (de) 2011-04-08 2012-10-11 Ev Group E. Thallner Gmbh Verfahren zum permanenten bonden von wafern
EP3035370A1 (de) * 2012-07-24 2016-06-22 EV Group E. Thallner GmbH Vorrichtung zum permanenten bonden von wafern
US9250514B2 (en) 2013-03-11 2016-02-02 Applied Materials, Inc. Apparatus and methods for fabricating a photomask substrate for EUV applications
KR101340814B1 (ko) * 2013-08-19 2013-12-23 (주) 일하하이텍 전력 공급부재 및 기판 처리 장치
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
CN105185680B (zh) * 2015-09-22 2017-10-03 上海华力微电子有限公司 一种电流检测装置及介质膜蚀刻装置
CN107475669B (zh) * 2017-09-19 2024-05-31 上海陛通半导体能源科技股份有限公司 金属氧化物或氮化物溅射工艺腔
US20190131111A1 (en) * 2017-10-30 2019-05-02 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd Chemical vapor deposition apparatus and method for forming films
CN110729165B (zh) * 2018-07-17 2022-05-27 北京北方华创微电子装备有限公司 电感耦合装置、工艺腔室和半导体处理设备
CN111192812B (zh) * 2020-01-07 2022-11-25 北京北方华创微电子装备有限公司 电感耦合装置和半导体处理设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06223998A (ja) * 1993-01-26 1994-08-12 Mitsubishi Heavy Ind Ltd 非接触プラズマ形状制御装置
JPH08217594A (ja) * 1995-02-08 1996-08-27 Mitsubishi Heavy Ind Ltd マグネトロン型誘導結合方式放電反応装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4926791A (en) * 1987-04-27 1990-05-22 Semiconductor Energy Laboratory Co., Ltd. Microwave plasma apparatus employing helmholtz coils and ioffe bars
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06223998A (ja) * 1993-01-26 1994-08-12 Mitsubishi Heavy Ind Ltd 非接触プラズマ形状制御装置
JPH08217594A (ja) * 1995-02-08 1996-08-27 Mitsubishi Heavy Ind Ltd マグネトロン型誘導結合方式放電反応装置

Also Published As

Publication number Publication date
KR20000051006A (ko) 2000-08-16
JP2000215999A (ja) 2000-08-04
US6022460A (en) 2000-02-08
JP3097957B2 (ja) 2000-10-10

Similar Documents

Publication Publication Date Title
KR100311234B1 (ko) 고품위 유도결합 플라즈마 리액터
KR100188076B1 (ko) 자기 결합성 플래너 플라즈마 형성 방법 및 장치
JP3653524B2 (ja) プラズマ発生方法、および誘導結合されたプラズマ発生源を含むプラズマ発生装置
JP3987131B2 (ja) 誘導増強された反応性イオンエッチング
JP3837171B2 (ja) プラズマ処理用高周波誘導プラズマ源装置
JP2591579B2 (ja) プラズマ発生装置
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080317965A1 (en) Plasma processing apparatus and method
JP2002540617A (ja) 誘導結合プラズマのプラズマ分布および性能を改善する装置
JP2004140363A (ja) 蛇行コイルアンテナを具備した誘導結合プラズマ発生装置
JP2634313B2 (ja) 半導体ウェーハ製造用プラズマ処理方法
US4982138A (en) Semiconductor wafer treating device utilizing a plasma
KR100786537B1 (ko) 반도체 기판 공정 챔버에 사용되는 다중 플라즈마 발생소스
US20020007794A1 (en) Plasma processing apparatus
KR20190052633A (ko) 에칭 방법
KR100718576B1 (ko) 드라이 에칭 장치 및 반도체 장치의 제조방법
JP2000164394A (ja) プラズマ処理装置
KR100415944B1 (ko) 유도결합형 플라즈마 발생소스
KR100391180B1 (ko) 기재표면의 플라즈마 화학처리 방법 및 장치
JP2937907B2 (ja) プラズマ発生装置
JPH08316205A (ja) プラズマ処理方法及びプラズマ処理装置
KR101040541B1 (ko) 플라즈마 발생용 하이브리드 안테나
CN212322966U (zh) 感性耦合反应器
KR100420533B1 (ko) 플라즈마 공정장치 및 이를 이용한 플라즈마 식각방법
KR100666419B1 (ko) 고품위 테프론 필름 형성기술

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120919

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20130827

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140915

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20150826

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20160602

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee