JP2591579B2 - プラズマ発生装置 - Google Patents

プラズマ発生装置

Info

Publication number
JP2591579B2
JP2591579B2 JP5145941A JP14594193A JP2591579B2 JP 2591579 B2 JP2591579 B2 JP 2591579B2 JP 5145941 A JP5145941 A JP 5145941A JP 14594193 A JP14594193 A JP 14594193A JP 2591579 B2 JP2591579 B2 JP 2591579B2
Authority
JP
Japan
Prior art keywords
coil
plasma
window
magnetic field
main coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP5145941A
Other languages
English (en)
Other versions
JPH0684811A (ja
Inventor
エス.オウグル ジヨン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JPH0684811A publication Critical patent/JPH0684811A/ja
Application granted granted Critical
Publication of JP2591579B2 publication Critical patent/JP2591579B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般的に低圧プラズマ
の発生システムおよび発生方法に関する。特に、本発明
は低圧処理装置で半導体ウエハを処理するのに使用する
ことができる非常に均一な平面状プラズマを発生させる
装置に関する。
【0002】エッチング、堆積、イオン注入等を含む種
々の半導体製造プロセスにおいてプラズマを発生させる
と効果的である。ガス中で自然に発生する自由電子を5
電子ボルトから10電子ボルトの間のガスイオン化エン
ルギまで加速することにより、プラズマが低圧ガス中で
発生する。これらの強力な電子とガス分子との間の衝突
は分子をイオン化させ、新たな自由電子を放出する。新
たな各自由電子も加速され、ガス分子をイオン化させる
ことができる。その結果として部分的にイオン化される
ガスをプラズマと称する。
【0003】
【従来の技術およびその課題】ほとんどの物体は典型的
には4つの相:固体相、液体相、気体相およびプラズマ
の中の1の状態で存在する。スーパーホットラズマは、
ガスクロマトグラフに使用され注入されたサンプルを分
解し分析用光特性スペクトルを形成する。またウエハか
ら材料をエッチングするために半導体処理装置において
も使用される。また、プラズマをベースとした半導体装
置は、堆積プロセス、レジスト除去プロセスおよびプラ
ズマ増速化学蒸着プロセスを含んでいる。
【0004】サイズが段々増大するウエハを処理する必
要から、発生するプラズマを均一にしかも大きく発生さ
せる必要がある。プロセス中のステップが何であれ、エ
ッチング、蒸着、もしくはイオン注入であれ、プラズマ
全面に亘ってほぼ均一な効果を与える必要がある。現在
の技術では8インチ(約20cm)までのウエハ処理が
可能であり、また12インチ(約30cm)のウエハプ
ロセス製造設備(fabs)が既に計画中である。2イ
ンチ(約5cm)のウエハをプラズマエッチングするの
に好適とされる公知の装置は、歩留率が大きく、かつ現
在のウエハプロセス製造設備により所望の均一性を得る
ことはできない。
【0005】公知のプレーナ磁気結合プラズマ(PMC
P)発生法は、イオンを加速する別個の無線周波数電源
と選択的に組合わせて、面状スパイラルコイルにより発
生させた変動磁界を使用してプラズマを生成する。この
方法は、変動磁界での電子加速により安価かつ簡単な操
作で磁束および磁界を別個に制御するのに有益である。
しかし、この方法は特に、コイルの軸線の近傍では電子
が均一に加速されない。1990年8月14日に発行さ
れた米国特許第4,948,458号明細書中で本願の
発明者が記載した公知のプラズマエッチングシステムは
石英製のような誘電性窓を有するチャンバを備えてい
る。面状コイルとコンデンサの組合わせが上記窓の近傍
に配置され、このコイルの軸線がこの窓に直交する方向
に配置され、強力な無線周波数電源がコイルに結合され
る。電力伝達は、例えば、13.56MHzで共振する
ようにインピーダンスを整合させかつ同調して最大とす
る。入口ポートはチャンバ内にプロセスガスを供給す
る。電子の循環流を有するプロセスガスのプラズマを形
成するのに充分な電力レベルにおいて、変動磁界がコイ
ルによりプロセスガス内に誘導される。電子の動きは面
状コイルに対し平行な面にしっかりと閉じこめられるの
で、非平面方向の運動エネルギの伝達が最小となる。電
子流は環状であるが平面状であるので、面状プラズマよ
り低いエネルギレベルを有する目を軸線に有する。この
目は、螺旋状に巻回され、このコイルの軸線が図1、
3、6および7に示すようにプラズマ面に直交する面状
コイルでは、不可避である。
【0006】半導体ウエハ処理において、プラズマ用自
由電子を加速するための非常に普通の方法は、低圧プロ
セスガスが充填されたプロセスチャンバ両側の一対の導
電体板もしくは電極間に無線周波数(RF)電界を印加
することである。処理されるウエハは典型的には一方の
電極に装着される。このように、RF電界が電極間のス
ペース内の電子を加速し、イオン化エネルギがウエハ面
に対し直交する方向に生成される。加速された電子は、
ガス圧に依存する周波数においてプロセスガス分子と衝
突する。この衝突はプラズマを生成するガスイオンを発
生する。電子がウエハと衝突するとウエハ内に電子が捕
捉され、ウエハ面に負電荷が生成される。この電荷はウ
エハに近接して循環するプラズマ中の正イオンを引きつ
け、高速度でウエハに引き寄せる。イオンが衝突するこ
とにより、エッチング、堆積またはその他の効果が発生
するかどうかは、イオンを発生させるガスの種類、ウエ
ハの表面材料およびその他の処理条件の関数である。
【0007】単一のRF電界は、イオン数であるイオン
束およびイオンがウエハと衝突するエネルギであるイオ
ン界の双方のレベルを決定する。従って、イオン束およ
びイオン界を別個に制御することは容易なことではな
い。しかし、ガス圧を変化させることによりイオン束お
よびイオン界を別個に制御することができる場合があ
る。ガス圧が低下すると分子間の距離が増加し、それに
より電子と分子との衝突の回数が減少する。衝突が減少
するためにほとんどイオンの発生がない。従って、イオ
ン束とイオン界との比は一般的に減少する。約20パス
カル(0.15Torr)以下の圧力においては、イオ
ン束とイオン界との比は極端に小さくなる。20パスカ
ル以下の圧力は半導体の特徴線の幅が減少するためにま
すます重要になるため、単純な平行板プラズマ発生法に
は限界があることが分かった。平行板システムの低圧限
界を克服する種々の方法が開発された。
【0008】磁気増速反応性イオンエッチング(MER
IE)と称される磁気増速プラズマ発生法においては、
励起された電子路を湾曲させるために一様な横方向磁界
が使用される。これは、最終的に電極板もしくはウエハ
に到達するまでに電子が移動しなければならない距離を
増加させる。この方法はイオン束とイオン界との比を増
加させ、所定比の場合に低圧を許容するが、ウエハに非
常に近接した高密度の横方向磁界を有する点でプロセス
上で重大な不利益を有している。これは低圧を約4パス
カルまでに制限する。メイダン等およびフォスター等に
対し夫々発行された米国特許第4,668,338号明
細書および第4,668,365号明細書は磁気増速プ
ラズマ発生に対するアプローチを記載している。
【0009】電子サイクロトロン共鳴(ECR)と称さ
れる他の公知の方法は、注意深く制御された横方向磁界
においてプラズマを発生させる自由電子を加速するため
にマイクロ波を使用する。磁界は、この磁界により湾曲
された電子の回転周波数がマイクロ波の周波数に等しく
なるように調節される。電子エネルギは、イオン化エネ
ルギーに達するまで多数回のマイクロ波電力サイクルに
より増加する。2.45GHzのマイクロ波周波数に対
するECR磁界は875ガウスである。ECRプラズマ
発生法はウエハーを装着する電極に印加される別個のR
F電源と組合わされる場合が多い。別個の電源はイオン
を加速することができる。従って、マイクロ波電力はイ
オン束を別個に制御し、RF電力はイオン界を別個に制
御する。この方法はイオン束およびイオン界を別個に制
御し、低圧で操作可能であるが、適切な操作を行うには
精密に調整された大きくかつ高価な磁石も必要とする。
【0010】他の方法、特に、誘導結合プラズマ(IC
P)およびヘリカルインダクタ共振器(ヘリカル)もプ
ラズマ発生に使用されるが、効率、効果的な低圧プラズ
マの発生能力およびイオン束とイオン界とを別個に制御
する能力などの点で夫々欠点を有している。この主題に
関するさらなる情報として、米国特許第4,610,3
92号明細書は誘導結合プラズマ(ICP)発生装置を
記載し、米国特許第4,160,392号明細書はヘリ
カル誘導共振器プラズマ発生法を記載している。
【0011】公知のプラズマ発生システムは、均一な面
状プラズマを発生させる効率の点で充分満足したもので
はない。精密な調整を伴うことなく簡単かつ安価な方法
でイオン束およびイオン界を別個に制御することも不可
能である。面状スパイラルコイルを使用する面状電磁結
合プラズマシステムはこれらの目的のほとんどを満足さ
せることはできるが、プラズマの所望の均一性を阻害す
る電子加速の不連続性がコイル中心部で発生する。しか
し、制御された量の変動電界および変動磁界の双方を使
用して横方向の電子加速を行う点で利点を有する。約1
0パスカル以下の圧力においては、振動磁界はエネルギ
をプラズマに連結させる点で振動電界より一般的に効率
的である。しかし、プラズマを形成するために振動電界
が必要とされる場合がある。約100パスカル以上の圧
力においては、振動電界はより効率的である。
【0012】半導体装置において非常に均一なプラズマ
を発生させる装置および方法が、エッチング、堆積およ
びイオン注入装置での使用を可能とするために必要とさ
れる。イオン化を行う電子を加速するために振動電界お
よび振動磁界の双方が望ましい。この装置は、被処理ウ
エハに向いたイオンエネルギを低くし幅広い圧力範囲に
亘って高イオン束密度を発生させることができるプラズ
マ発生能力を含むことが好ましい。別個のイオン加速能
力が、ウエハに衝突するイオンを管理するイオン束およ
びイオン界を別個に制御するために必要とされる。調整
および操作の単純さ、電力利用の点での効率的操作並び
に小形化も達成すべき目的である。
【0013】従って、プラズマ発生技術の改善が必要で
ある。本発明はプラズマ発生と伝統的に関連する問題を
克服する。
【0014】
【課題を解決するための手段、作用および効果】従っ
て、本発明の目的は、プラズマにより可能となったプロ
セスにさらされる半導体ウエハにほぼ平行でかつこの半
導体ウエハから隔離されたプラズマを電子加速により形
成することである。
【0015】簡単に言えば、本発明の実施例は、無線周
波数発生機による高周波マッチングにより結合された無
線周波数エネルギを有する同調回路を形成する平坦に形
成された側部を有する主コイルとコンデンサとを備える
プラズマシステムである。石英窓を有しかつ低圧ガスを
含むプロセスチャンバは、石英窓面に平行な軸線を有す
る主コイルの平坦に形成された側部に近接し、円形ディ
スク形状の二次元面状プラズマは主コイルに流れる電流
を高変化率とすることにより形成されかつ維持される。
上記チャンバー内に配置され、上記窓に対向する電極は
処理される半導体ウエハを取付けるのに使用され、この
電極に印加された電位はプラズマにより引きつけられか
つ加速されたイオンのイオンエネルギを別個に制御す
る。
【0016】本発明の利点は、イオン密度およびイオン
エネルギが別個に制御可能なシステムを提供することで
ある。
【0017】本発明の他の利点は、12インチ(約30
cm)という大きな径に亘って均一な面状プラズマが形
成されるシステムを提供することである。
【0018】本発明の他の利点は、1/10パスカルと
いう低いプロセスチャンバ圧でプラズマを発生させかつ
維持することができるシステムを提供することである。
【0019】本発明のこれらのおよびその他の目的およ
び利点は、複数の図面に示されている好ましい実施例に
関する下記の詳細な説明を読んだ後、当業者には明らか
となるであろう。
【0020】
【実施例】図1は、歯車列16を介してモータ14によ
り調節される真空可変コンデンサ12と、主コイル18
とを備え、その全体が参照符号10により表されている
本発明のプラズマエッチングシステムの実施例を示して
いる。コンデンサ12は3ピコファラッドから30ピコ
ファラッドの容量を有する高電圧型かつ高電流型でなけ
ればならない。好適な装置の1の製造者がジェニングス
・コーポレーション(カリフォル州、サンホセ)であ
る。そのような真空可変コンデンサの価格は高い。この
コンデンサより安価であるが使用可能なものとして、外
部のRFマッチング回路での調節を伴うハイエナジーコ
ンポーネンツ(HEC)およびCRLコンポーネンツに
より製造されているRFトランスミッタ固定コンデンサ
の並列−直列ネットワークを真空可変コンデンサに代わ
りに使用することができる。例えば、4個の50ピコフ
ァラッドの定格容量を有する15KVコンデンサ12か
ら形成された2つの平行回路は粗トリミング用に付加さ
れた小さな別のコンデンサと共に使用することができ
る。この組合わせは優れた結果を与える。(この組合わ
せは60KVで約28ピコファラッドの組合わせコンデ
ンサを形成する)。主コイル18端部のループは面状プ
ラズマ22をより均一にする磁界20を生成するために
小さくかつ密接している。典型的には、外側のループの
間隔は中央部のループ間隔の60%となっている。
【0021】主コイル18は鉛管工事に普通使用される
3/8インチの軟性銅配管から形成することができる。
無線周波数で導体に流れる電流の大部分は表皮に流れる
ので、配管は非常に良好に機能し、必要に応じ形成しか
つ平坦とすることができる。一端で主コイル18はプラ
ズマ22を均一に維持するために充分な巻数を有してい
なければならず、この巻数は最低でも5巻である。主コ
イル18の巻線は、最高の場合でも巻線間のエアーギャ
ップの距離を維持するようにし、エアギャップが小さく
なりアークが発生しないようにしなくてはならない。
【0022】すなわち約15巻が最大である。主コイル
18の長さは典型的には20cmから35cmであり、
最広部の幅も典型的には20cmから35cmであり、
各端部に向ってテーパされ、各端部では10cmから2
0cmまで縮径されている。その結果として生じるイン
ダクタンスは約4マイクロヘンリーから約20マイクロ
ヘンリーである。巻線間の間隔はプラスチック製スペー
サにより維持することができる。プラスチックコイル形
状は、主コイル18の形状を与え、操作中もしくは取扱
い中に形状が変形しないように機械的強度を改善するた
めに使用することができる。巻線間のアークは、問題と
なる場合には主コイル18を油槽内に浸すことにより減
少もしくは除去することができる。主コイル18の電流
装荷能力は主コイルを形成する銅配管に銀メッキするこ
とにより改善することができる。主コイル18の形状お
よび大きさ並びに巻線間の間隔は、特定用途用に所望の
磁界および電界を分配できるように典型的には経験上決
定される。
【0023】主コイル18およびコンデンサ12はモー
タ14により同調可能な固有周波数を有するタンク回路
を形成する。無線周波数(RF)発生機23は主コイル
18に最適な電力を伝達するRFマッチング回路24に
電力を送る。RF発生機23は半導体処理装置の操作に
おいて一般的に使用され、13.56MHzが好ましい
が約13.56MHzから100MHzの範囲で操作可
能な型式のものであってもよい。RF発生機23は通
常、低出力インピーダンス、典型的には50オームのイ
ンピーダンスを有し、2.5キロワットを発生させるこ
とができる。RFマッチング回路24は、ENIコーポ
レーションにより販売されている、自動チューニング/
マッチング特性を有するMATCHWORKS25であ
ってもよい。RFマッチング回路24はカップリングコ
イル26を延出させている。カップリングコイル26は
主コイル18の中心に近接して設置されるのが好まし
く、カップリングコイル26と主コイル18との間に発
生するカップリングを変化させるために回転させること
ができるように配置することができる。アルミニューム
シリンダ29はRF整合器24を支え、電磁干渉(EM
I)の放射の包含を助勢する。モータ14はタンク回路
をRF発生機23の周波数に整合させるのに使用され
る。その結果として形成されるトランスフォーマ状のカ
プリングは、磁界20がチャンバ30内でプラズマ22
を形成しかつ維持するのに充分に強力であるように形成
される。インシュレータ32が、アークを防止するため
に静電シールド34と主コイル18との間に配置され
る。静電シールド34は電磁界だけをチャンバ30内に
通し、静電界の通過を遮断する。好ましくは石英から形
成された平面状の窓36はチャンバ30の内部を外部環
境からシールし、真空圧でガスを収容可能とする。上記
窓36は磁界20がチャンバ30内に達するのを許容
し、典型的には主コイル18の長さおよび幅より大き
い。主コイル18の窓36に近接する側部は、窓36を
通る磁界20の通過が改善されるように平坦に形成され
るのが好ましい。窓36はセラミックから形成すること
ができる。しかし、透明窓36はチャンバ30内の活性
度を測定するためにプラズマ22により干渉されない光
周波数での干渉計を使用可能とする。
【0024】システム10は、またリングインシュレー
タ40によりチャンバ30から電気絶縁されている電極
38を備えている。コネクタ42は、プラズマ22によ
り発生したイオンが電極38に装着されたウエハ44に
向かって引きつけられるように、外部電位を電極38に
印加可能とする。主コイル18の長手方向軸線X−Xは
プラズマ22、窓36およびウエハ44にほぼ平行であ
る。プラズマ22内の電子は典型的には約4.5cmの
距離だけウエハ44に対し平行に前後方向に振動する。
電子のこの比較的長い振動距離はプラズマ22の均一性
を形成する。主コイル18内の電流変化率(dI/d
t)はこれらの電子との主カップリング機構を構成す
る。システム10のいわゆる非均一性の測定結果は5%
より良かった。プラズマ22は本質的には二次元であ
り、ウエハ44の領域まで延出していることが好まし
い。電子を攪拌することによりプラズマ22中に形成さ
れたイオンは、典型的には電極38により“C”の方向
に加速される。1もしくは複数の被処理物を装着するた
めの1もしくは複数の面がチャンバ30内に存在するの
が好ましい。ウエハ44は典型的には6インチ(約15
cm)の径を有するシリコン製ウエハである。本発明の
他の実施例は12インチ(約30cm)およびこれ以上
の径を有するウエハに適するプラズマを形成することが
できる。より大きなウエハを収容するために主コイル1
8およびチャンバ30のようなシステム10の大きさは
比例して拡大される。プラズマ22中に形成されるイオ
ン束密度は無線周波数発生機23の出力を調節すること
により制御することができる。ウエハ44に衝突するイ
オンのイオンエネルギは電極38に印加される電位を調
節することにより別個に制御することができる。プロセ
スガス源46は入口ポート48を介してチャンバ30内
にガスを供給する。真空ポンプ50は出口ポート52を
介してチャンバ30内の圧力を調節する。プロセスガス
を供給しかつ外囲内の圧力を制御するシステムは当該技
術分野において周知であり、更に記載する必要はない。
プラズマ22の密度はチャンバ30内で使用されるガス
および圧力に依存するために、イオン密度もこれらのパ
ラメータに依存する。
【0025】図2の(A)、(B)および(C)は機能
および目的の点で図1の主コイル18およびコンデンサ
12と類似している主コイル60およびコンデンサ62
を示している。窓64はコイル60により形成された磁
界が外囲66内に侵入可能とし、またこの外囲は処理さ
れるウエハ68をその内部に配置する。主コイル60は
複数の巻線70から77を含んでいる。図2の(C)に
最も良く示されているように、巻線70から77の窓6
4に近接する部分は平坦に形成されている。これはより
高密度の変動磁界を外囲66内に侵入可能とする。
【0026】図3および図4は図2の(A)から(C)
に示されている他の実施例を示している。図3におい
て、主コイル80は各巻線に接続している一連のタップ
81から87を含んでいる。コンデンサ88は主コイル
80に並行に接続している。従って、主コイル80の中
心に近接する1もしくは1組のタップはアースもしくは
チャンバ30内のイオンを加速する第2の静電RF電源
への路を設けるために使用することができる。タップ8
1から87は誘電体窓98上の一連の細長い平行板91
から97に夫々接続している。平行板91から97と主
コイル80上の点との電気接続は、共振回路に印加され
る電圧の一部が平行板に印加されるように多かれ少なか
れ均一に分配され、窓98に近接しかつ平行に配置され
た外囲内に面状プラズマを誘導するように行われる。
【0027】図4は他のタップ装置を示している。これ
らのタップもコイル18および60を効果的にするため
に使用することができる。電界カップリングを使用する
プラズマ発生法は100パスカル以上のガス圧の場合、
磁界カップリングより効果的である場合がある。図4に
おいて、主コイル100は一対のタップ102および1
04を含んでおり、これらのタップは夫々外側のコイル
巻線に接続している。コンデンサ106はコイル100
に接続し、誘導容量性共振回路を形成している。無線周
波数電力が例えば、図1に示されている方法により共振
周波数でコイル100に連結するとき、非常に大きな電
圧がタップ102と104との間に形成される。タップ
102および104は平面状の誘電性窓116を有する
面上の複数の細長い平板108,110,112および
114に接続している。平板108,110,112お
よび114と主コイル100との電気接続はガス外囲内
の共振回路に電圧を連結し、その内部に面状プラズマを
誘導する。プラズマは窓116に対し平行に形成され
る。ガス外囲内に誘導された静電界がプラズマ発生に主
に寄与し、コイル100をガス外囲から分離することに
よりコイル100の磁界は減少しもしくは濾過する。平
板108,110,112および114は面状プラズマ
に対する外側の円形境界を促進するために単一円の一部
として形成される。ウエハは典型的には半導体材料の結
晶の円筒状プールの円形スライスであるので、この特定
の形状は半導体ウエハ処理において有利である。
【0028】本発明の実施例の操作理論はプロセスガス
中の電子の平均自由路、イオン化エネルギーのための電
子の振動距離およびチャンバ30の寸法の関係で理解す
ることができる。電子の平均自由路はガス分子と衝突す
る電子が移動する平均距離と定義される。これはチャン
バのガス圧により決定される。電子の自由路はガス分子
の大きさ、電子のエネルギおよびその他の要因により更
に影響を受ける。1パスカルの圧力および10電子ボル
トの最大エネルギの場合、普通のプロセスガスの場合の
電子の平均自由路距離は約3cmである。この距離は圧
力に反比例して変化する。13.56MHz(普通使用
されているプラズマ発生周波数)の高周波数で10電子
ボルトのピーク電子エネルギを有する曲りくねった電子
振動の場合、電子振動距離は約4.4cmである。イオ
ンの質量は電子の質量よりはるかに大きいので、電力周
波数の13.56MHzに維持されると仮定した場合、
プラズマ22内の正イオンの大きな移動は生じない。
【0029】1パスカルの圧力での電子の平均自由路お
よびイオン化エネルギのための電子振動距離の双方はプ
ラズマチャンバ内の平行板電極間に通常使用される間隔
より大きい。従って、1パスカルもしくはそれ以下の圧
力での効率的なプラズマの発生は1組の平行電極間にR
F電力を印加するだけでは可能とはならない。システム
10のような本発明においては、電極に平行なチャンバ
寸法は典型的には20cmから50cmであるので、イ
オン化エネルギを有する電子は、電極もしくはチャンバ
壁部に衝突せずにウエハ44に平行な複数の振動を受け
ることができる。これは、低圧での電子の平均自由路が
比較的長い場合でも電子エネルギのイオン化エネルギへ
の変換の点で高効率を可能とする。
【0030】電界による電子加速場は主コイルの軸線に
ほぼ平行に形成され、一方、変動磁界による電子加速場
は上記窓に近接し、主コイルの軸線にほぼ直交する主コ
イルの巻線に対しほぼ平行に形成される。従って、主コ
イル18による変動電界および変動磁界のいずれかもし
くは双方はチャンバ30内のプロセスガス中で窓36の
面にほぼ平行に電子を加速するのに使用することができ
る。上記窓36を通してチャンバ内に生じる主コイルに
よる電界および磁界の双方の最大カップリングは、上記
窓に近接する一連のバーを形成するように平坦に形成さ
れた主コイルの一端に形成される。
【0031】異なる条件下での他の応用例は電界もしく
は磁界のいずれかの利点を享受することができるので、
両者のいずれかを支配的にすることができる。電気シー
ルド34(図1)は主コイル18の軸線および窓36に
ほぼ平行な絶縁導体を含んでいる。窓36を通ってチャ
ンバ30内に達する変動電界は最小化され、変動磁界が
支配する。図3はバーをポストに装着しかつ主コイルの
軸線に対し平行に主コイルに沿って取り付け、主コイル
をポストの長さだけ窓98から離隔させることにより、
上記窓を通過する磁界は減少し、電界が支配する。
【0032】ウエハに直交するイオンを加速ために使用
される別個のRF源は、上記窓に近接する主コイルの平
行バーもしくは上記窓と主コイルとの間の電気シールド
により形成され、ウエハが配置される電極間に印加され
る。この別個のRF源はウエハー電極38もしくは主コ
イルバーもしくは電気シールドにより形成された電極の
いずれかに印加することができる。
【0033】プラズマ自体が比較的高インピーダンスの
シース、即ちダークスペースによりチャンバ面およびウ
エハから分離された比較的優れた導体を形成するので、
2つの機能、即ち、イオン密度(イオン束)およびイオ
ンエネルギ(イオン界)の分離は可能であり、これによ
りプラズマの一方側から他方側への電圧は比較的小さ
く、一方、バーにより形成された電極と他方の電極との
間の電圧は大きくすることができる。
【0034】現在の好ましい実施例を参照して本発明に
ついて記載したが、この記載は制限的なものとして解釈
されるべきでないことが理解されるべきである。上記記
載を読むことにより種々の変更および修正が当業者には
疑いもなく明らかとなるであろう。従って、添付特許請
求の範囲は本発明の真実の精神および範囲内の全ての変
更および修正を含むもととして理解されることが予定さ
れている。
【図面の簡単な説明】
【図1】アルミニュームシールドおよびチャンバの一部
が破断されている、本発明のプラズマエッチングシステ
ムの側面図。
【図2】図2の(A)、(B)および(C)は外囲上の
近接した窓に配置された、図1に示されているものと類
似するインダクタおよびコイルの斜視図、側面図および
端面図である。
【図3】本発明の代替コイルおよびコンデンサの斜視
図。
【図4】本発明の更に他の代替コイルおよびコンデンサ
の実施例の斜視図。
【符号の説明】
10 プラズマエッチングシステム 12 コンデンサ 14 モータ 16 歯車列 18 主コイル 20 磁界 22 面状プラズマ 23 無線周波数発生機 24 無線周波数マッチング回路 26 カップリングコイル 29 アルミニュームシリンダ 30 チャンバ 32 インシュレータ 34 静電シールド 36 平面状窓 38 電極 40 リングインシュレータ 42 コネクタ 44 ウエハ 46 プロセスガス源 48 入口ポート 50 真空ポンプ 52 出口ポート 60 主コイル 64 平面状窓 66 外囲 68 ウエハ 70,71,72,73,74,75,76,77 巻
線 80 主コイル 81,82,83,84,85,86,87 タップ 88 コンデンサ 91,92,93,94,95,96,97 タップ 98 平面状窓 100 主コイル 102,104 タップ 106 コンデンサ 108,110,112,114 平行板 116 平面状窓

Claims (7)

    (57)【特許請求の範囲】
  1. 【請求項1】 少なくとも一部がほぼ平坦な電気絶縁窓
    で仕切られたガス密の外囲と、 この外囲内に複数種のプロセスガスを導く導入手段と、 前記プロセスガスの圧力を制御する制御手段と、 前記外囲の近部の外側で前記絶縁窓に近接して装着さ
    れ、その軸線が前記絶縁窓のほぼ平行に配置されたコイ
    ルと、 このコイルに無線周波数電源を結合して電流を流す結合
    手段とを備え、平面状のプラズマが形成されて前記絶縁
    窓に平行に維持される、プラズマ発生装置。
  2. 【請求項2】 前記窓に近接する前記コイルの側部は、
    平坦に形成され、外囲内でこのコイルと前記プラズマと
    の間のカップリングを改善する請求項1記載の装置。
  3. 【請求項3】 磁界の方向がほぼ前記絶縁窓に垂直な安
    定磁界を形成する安定磁界発生装置を更に備える請求項
    1記載の装置。
  4. 【請求項4】 前記絶縁窓に対向して外囲内に装着さ
    れ、無線周波数電源との結合部を有する電極を更に備
    え、この電極の方向の加速エネルギが前記プラズマ中に
    生じたイオンに加えられる請求項1記載の装置。
  5. 【請求項5】 前記コイルのポイントと、前記外囲の導
    通部の電位で限定されるアースとの間に無線周波数電源
    が印加される請求項1記載の装置。
  6. 【請求項6】 前記コイルと外囲との間に配置された静
    電シールドを更に備え、コイルで形成された電磁界だけ
    が平面状のプラズマを発生しかつ維持するのに充分な強
    さを有する請求項1記載の装置。
  7. 【請求項7】 前記コイルと静電シールドとの間に配置
    されて静電シールドに対するコイルの電気アークを防止
    する絶縁層を更に備える請求項6記載の装置。
JP5145941A 1992-06-18 1993-06-17 プラズマ発生装置 Expired - Fee Related JP2591579B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/900,131 US5277751A (en) 1992-06-18 1992-06-18 Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US900131 1992-06-18

Publications (2)

Publication Number Publication Date
JPH0684811A JPH0684811A (ja) 1994-03-25
JP2591579B2 true JP2591579B2 (ja) 1997-03-19

Family

ID=25412020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5145941A Expired - Fee Related JP2591579B2 (ja) 1992-06-18 1993-06-17 プラズマ発生装置

Country Status (3)

Country Link
US (1) US5277751A (ja)
JP (1) JP2591579B2 (ja)
DE (1) DE4319717A1 (ja)

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6136140A (en) * 1993-01-12 2000-10-24 Tokyo Electron Limited Plasma processing apparatus
USRE40963E1 (en) * 1993-01-12 2009-11-10 Tokyo Electron Limited Method for plasma processing by shaping an induced electric field
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5433812A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
TW273067B (ja) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5472509A (en) * 1993-11-30 1995-12-05 Neomecs Incorporated Gas plasma apparatus with movable film liners
JPH07161493A (ja) * 1993-12-08 1995-06-23 Fujitsu Ltd プラズマ発生装置及び方法
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5521351A (en) * 1994-08-30 1996-05-28 Wisconsin Alumni Research Foundation Method and apparatus for plasma surface treatment of the interior of hollow forms
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
KR100606622B1 (ko) * 1994-12-06 2006-12-01 램 리써치 코포레이션 대형제품용플라스마처리기
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5504341A (en) * 1995-02-17 1996-04-02 Zimec Consulting, Inc. Producing RF electric fields suitable for accelerating atomic and molecular ions in an ion implantation system
US5667630A (en) * 1995-04-28 1997-09-16 Vanguard International Semiconductor Corporation Low charge-up reactive ion metal etch process
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5674357A (en) * 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5759921A (en) * 1995-09-21 1998-06-02 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
US5837615A (en) * 1995-09-21 1998-11-17 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
US6342135B1 (en) * 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US5922223A (en) * 1995-11-16 1999-07-13 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3437376B2 (ja) 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
JP2845199B2 (ja) * 1996-06-14 1999-01-13 日本電気株式会社 ドライエッチング装置およびドライエッチング方法
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
EP0838839B1 (en) * 1996-09-27 2008-05-21 Surface Technology Systems Plc Plasma processing apparatus
US5970907A (en) 1997-01-27 1999-10-26 Canon Kabushiki Kaisha Plasma processing apparatus
TW434723B (en) * 1997-03-17 2001-05-16 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7166816B1 (en) 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6504126B2 (en) 1997-06-30 2003-01-07 Applied Materials, Inc. Plasma reactor with coil antenna of concentrically spiral conductors with ends in common regions
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
WO1999029923A1 (en) 1997-12-05 1999-06-17 Tegal Corporation Plasma reactor with a deposition shield
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6204607B1 (en) 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6074953A (en) 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6229264B1 (en) 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6319355B1 (en) 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
JP5054874B2 (ja) * 1999-12-02 2012-10-24 ティーガル コーポレイション リアクタ内でプラチナエッチングを行う方法
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6451161B1 (en) * 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
GB2369575A (en) * 2000-04-20 2002-06-05 Salviac Ltd An embolic protection system
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6440219B1 (en) * 2000-06-07 2002-08-27 Simplus Systems Corporation Replaceable shielding apparatus
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6348126B1 (en) 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6410449B1 (en) 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
DE10058768C2 (de) * 2000-11-27 2003-08-21 Singulus Technologies Ag Verfahren zum Zünden eines Plasmas
US6527912B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US8454810B2 (en) * 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
CN103906703B (zh) * 2011-10-14 2016-08-24 应用材料公司 用于对准通过静电纺丝工艺沉积的纳米线的方法和设备
KR101598463B1 (ko) * 2014-04-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN103974517A (zh) * 2014-05-22 2014-08-06 哈尔滨工业大学 高频电磁场条件下的束缚等离子体聚集器及采用该聚集器实现的聚集方法
DE102015003379A1 (de) * 2015-03-17 2016-09-22 Manz Ag Plasmaerzeugungsvorrichtung mit einer Induktionsspule
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
CN108917805B (zh) * 2018-08-08 2019-11-26 苏州博昇科技有限公司 电磁超声波双波换能器
US20220025519A1 (en) * 2018-10-26 2022-01-27 Lpe S.P.A. Deposition reactor with inductors and electromagnetic shields

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE788661A (fr) * 1971-10-05 1973-03-12 Lefe Corp Dispositif d'attaque d'une matiere par un gaz dans un champ electromagnetique
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4668388A (en) * 1983-11-25 1987-05-26 Texaco Inc. High rate sludge reactor
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
EP0221164B1 (en) * 1985-05-03 1990-10-24 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma

Also Published As

Publication number Publication date
DE4319717A1 (de) 1993-12-23
US5277751A (en) 1994-01-11
JPH0684811A (ja) 1994-03-25

Similar Documents

Publication Publication Date Title
JP2591579B2 (ja) プラズマ発生装置
EP0648069B1 (en) RF induction plasma source for plasma processing
KR100188076B1 (ko) 자기 결합성 플래너 플라즈마 형성 방법 및 장치
US5650032A (en) Apparatus for producing an inductive plasma for plasma processes
JP4037760B2 (ja) 誘導結合プラズマにおいてプラズマ分布と性能とを改良するための装置および方法
KR100238627B1 (ko) 플라즈마 처리장치
EP1401008B1 (en) Element for coupling electrical energy into a processing chamber and processing system comprising such an element
JP3653524B2 (ja) プラズマ発生方法、および誘導結合されたプラズマ発生源を含むプラズマ発生装置
US6494998B1 (en) Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
JP5184730B2 (ja) プラズマの均一性を電気的に制御可能なプラズマ発生装置
US6030667A (en) Apparatus and method for applying RF power apparatus and method for generating plasma and apparatus and method for processing with plasma
KR100311234B1 (ko) 고품위 유도결합 플라즈마 리액터
EP0553704A1 (en) Radio frequency induction plasma processing system utilizing a uniform field coil
EP1058489A2 (en) Method and apparatus for generating a plasma
JP2003515433A (ja) ハイブリッドプラズマ処理装置
US6310577B1 (en) Plasma processing system with a new inductive antenna and hybrid coupling of electronagnetic power
EP0421430B1 (en) A plasma process, method and apparatus
CA2401220C (en) High frequency plasma beam source
JP3254069B2 (ja) プラズマ装置
US5401318A (en) Plasma reactor for performing an etching or deposition method
RU2196395C1 (ru) Плазменный реактор и устройство для генерации плазмы (варианты)
JPH08316205A (ja) プラズマ処理方法及びプラズマ処理装置
JPH0221296B2 (ja)
JP2010003765A (ja) プラズマ処理装置およびプラズマ処理装置への給電方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees