JPS6077429A - ドライエツチング方法 - Google Patents

ドライエツチング方法

Info

Publication number
JPS6077429A
JPS6077429A JP58184399A JP18439983A JPS6077429A JP S6077429 A JPS6077429 A JP S6077429A JP 58184399 A JP58184399 A JP 58184399A JP 18439983 A JP18439983 A JP 18439983A JP S6077429 A JPS6077429 A JP S6077429A
Authority
JP
Japan
Prior art keywords
etching
gas
dry etching
mixed
etching method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP58184399A
Other languages
English (en)
Other versions
JPH0343776B2 (ja
Inventor
Makoto Segami
瀬上 信
Kunihiko Terase
邦彦 寺瀬
Shinya Iida
飯田 進也
Hideo Komatsu
英雄 小松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
AGC Inc
Original Assignee
Asahi Glass Co Ltd
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Glass Co Ltd, Kokusai Electric Corp filed Critical Asahi Glass Co Ltd
Priority to JP58184399A priority Critical patent/JPS6077429A/ja
Priority to EP84111847A priority patent/EP0140201A3/en
Priority to US06/657,524 priority patent/US4581101A/en
Publication of JPS6077429A publication Critical patent/JPS6077429A/ja
Publication of JPH0343776B2 publication Critical patent/JPH0343776B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 本発明は、半樽体集積回路(工Cりの微細パターン形成
に用いるドライエツチング方法に関する。
ドライエツチング技術の進歩により、工Cの各素子を構
成するパターン寸法をより微細化できるようになり、I
Cの高性能化や高集積度化をもたらしている。ドライエ
ツチング方法には、化学反応を利用したバレル型プラズ
マエツチング方法、又はアルゴン等の不活性ガスやテト
ラフルオロメタン等の反応性ガスを用いたイオンエツチ
ング、イオンビームエツチング方法等が知られている。
これらの方法に用いるエツチングガスとしては、四塩化
炭素、三塩化硼素等の塩素系化合物あるいは、テトラフ
ルオロメタン等のフロン類、又はこれらと酸素等との混
合ガスが一般的である。
エツチングガスの選定は、あらゆるエツチング特性に影
響を与え、極めて重要である。例えば、エツチングすべ
き酸化シリコン膜やpsG(リン珪酸ガラス)膜と、下
地となっているシリコンや保護マスクとして使用するレ
ジスト膜とのエツチング速度比を大きくできること、あ
るいはエツチング残渣やポリマーの生成を防止する必要
等から最適なエツチングガスの探索が進められている。
前者の目的のために、テトラフルオロメタンと水素との
混合ガスを、又後者の目的のだめに、エツチングガス中
に酸素や二酸化炭素を混合する試みがなされている。し
かしながら、エツチング残渣やポリマーを除去したり、
あるいはその生成を良く防止できるエツチングガスは、
エツチング速度比いわゆるエツチングの選択性が低下す
る傾向にあり、両者の効果が共に優れたガスの探索が望
まれている。
本発明者等は、ポリマー生成等がなくしかもエツチング
の選択性が良好なエツチングガスの探索を鋭意進めた結
果、少なくとも炭素、弗素及び酸素を同時に含む化合物
が極めて効果的であることを見い出すことができた。か
かる化合物による、半導体羽村のドライエツチングにお
いては、ポリマー生成等がなくしかも選択性が良好であ
る。さらには、本発明以外のエツチングガスによる半導
体材料のドライエツチングにおいて、本発明のエツチン
グガスをポリマー生成等の防止又は除去に使用できるこ
とも合わせて見い出すことができた。
すなわち、本発明は半導体材料のドライエツチング方法
において、少なくとも炭素、弗素及び酸素を同時に含む
化合物を必須成分とするガスによりエツチングすること
を特徴とするドライエツチング方法及び、かかる化合物
を必須成分とするガスによシ、エツチング残渣や生成ポ
リマーを除去し、又はこれらの生成を防止し、あるいは
半導体材料の腐食を抑制する工程を有することを特徴と
するドライエツチング方法に関するものである。
エツチングすべき半導体材料には例えば”13N4 #
poly−8i 、 A1 等の他、810宜、pse
(リン珪酸ガラス)、Mo、W、Ti、Ta、A1合金
(A’1−Ell 。
又はA’1−Cu、又はAl−8i−Cu 等) 、 
Mail 、 WSi 。
T181 、 Taxi 等が知られているが、本発明
に用いるエツチングガスはこれらの材料に対し適用可能
である。又、sho、やPSGのエツチングにおいては
、下地の81 や保護マスクのレジスト膜とのエツチン
グ速度比を、あるいはS10!膜上のpal−8i 膜
のエツチングにおいては、810鵞やレジスト膜とのエ
ツチング速度比を大きくとれる等、いわゆるエツチング
の選択性が良好である。
一方、本発明に用いるエツチングガスにより、従来から
問題となっているポリマー生成やエツチング残渣あるい
はAl 系材料の腐食について良く阻止できることが判
明した。テトラフルオロメタン等のフロン系ガスによる
Sl のエツチングでは81 表面に70ロカーボンポ
リマーが堆積しやすく、又四塩化炭素等の塩素系ガスに
よるA1 のエツチングでは下地材料のsho、上にポ
リマー残渣が生じやすく、又Al−81やAl−81−
Ou等のAl 合金においては81 やaU によるエ
ツチング残渣が生じやすい等の問題がある。さらには、
Al 系半導体材料のエツチング終了後にA1 腐食物
が生じ、素子のオープン不良の原因となっている。これ
らの問題は、エツチングガスとして本発明方法のガスを
用いることによシ、又はいわゆるプラズマクリーニング
剤として本発明方法のガスを用いることにより解決し得
る。
このように、ポリマーやエツチング残渣あるいはA1 
腐食物の生成を防止できるばがシでなく、エツチング室
内の塩素化物を中心とした汚染物質の除去にも役立つと
いう優れた効果も同時に有している。
本発明方法に用いるエツチングガスは、少なくとも炭素
、弗素及び酸素の6元素を同時に含む化合物である。例
えば、ヘキサフルオロプロピレンオキサイド等のエポキ
サイド類、(2,2−ジクロロ−1,1−ジクロロエチ
ル)メチルニー f # 等(7)−c−チル類、ヘキ
サフルオロアセトン等のケトン類、トリフルオロアセチ
ルフルオライド等のカルボン酸の誘導体、2,2.2−
 )リフルオ四エタノール等のアルコール類、三フッ化
メタンスルホン酸等のスルホン酸類等を挙げオロエボキ
サイド以外のパーフルオロ環状エーテル類が好適である
。これらの各種化合物は、単独で用いてもよく、二種以
上の混合物として用いてもよいか、エポキサイド類特に
ヘキサ7ルオログロビレンオキサイド(OF、0FOF
、O) (以下、6 FPOという)を主体とすること
が好ましい。
前記化合物に対し、従来より知られている各種エツチン
グガスを混合すると種々の特徴を出すことができる。例
えば、Sin!、 P2Oのドライエツチングにおいて
は、トリフルオロメタンを混合使用することで、下地材
料の81 及び7オトレジストとの選択性を保持したま
ま、特にポリマーの形成を抑制する効果が高い。又、p
oly−81やMo、Ti、W、Ta笠の金属膜のエツ
チングにおいては、塩素ガスを混合使用することにより
、大きなエツチング速度とともに、高い選択エツチング
が可能となる。混合割合については、6 FPOを混合
する場合について述べれば、体積比で6FPO/ CH
F3. = C1,01〜5,0、好ましくは0.05
〜1,0.67PO/ 01! = 0.5〜40、好
ましくは1.0〜20が適当である。勿論、6FPO等
を単独に使用した場合にも、従来に比し速いエツチング
速度と、高い選択エツチング性及び同時にポリマー生成
がない点等について優れている。
混合使用可能なその他のエツチングガスとしては、以下
の化合物を例示し得る。例えば、下記一般式(1)で表
わされる飽第1jハロゲン化炭化水素類、又は下記一般
式(illで表わされる不飽和ハロゲン化炭化水素知で
ある。
CnHtn十トmXm 拳 ψ + (I)(但し、1
≦n≦10.1≦m≦222x=b’、 cl、Elr
 又は工を示す。)Op’mp−14−4q−1”L−
kXk” ” ” ’損(但し、1≦p≦4.q=三重
結合の数。
l=二重結合の数、1≦に≦8.x= F、C1,Br 又は工を示す。) 上記一般式(1)で表わされる化合物としては、トリフ
ルオロメタン、テトラフルオロメタン、トリクロロメタ
ン、テトラクロロメタン、トリブロモメタン、テトラブ
ロモメタン、トリヨードメタン、プロモトリフルオロメ
タン、ジブロモジフルオロメタン、ヨートドリフルオロ
メタン、ショートジフルオロメタン、クロロトリフルオ
ロメタン、ジクロロジフルオロメタン、ブロモクロロメ
タン、トリクロロブロモメタン、塩化エチル、ジクロロ
エタン、トリクロロメタン、テトラクロロエタン、Q化
エチル、ジブロモエタン、テトラブロモエタン、ヨウ化
エチル、クロロペンタフルオロエタン、ヘキサフルオロ
エタン、オクタフルオロプロパン等である。又、上記一
般式(nJで表わさ)する化合物としては、ジフルオロ
アセチレン、トリクロロメタン、臭化ヒニル、テトラフ
ルオロエチレン、クロロトリフルオロエチレン(スオ挙
げることができる。
これら以外の化合物としてt、支、オクタフルオロシク
ロブタン、六す1.化イ万つ、三弗化輩素、三弗化塩素
、三塩化リン、三塩化硼素、三臭化硼素、四塩化珪素、
四弗化灯素、二酸化炭素、−級化炭素、酸素、塩素、ヘ
リウム、臭素、弗素、ヨウ素、水素、窒素等の各1if
t公知ないし周知のエツチングカスを13・り示しイq
る。
次に、本発すjの実施声jについて、さらに具体的に説
明する。
実施例1 リン8%を含むpsa膜08μIn 1r:Siウェハ
上に堆積した試料に東京応化製のポジ型ホトレジス) 
0IFPIt 800 ’c付着し、パターン形成のた
めの窓を露光、現像により作成した。本サンプルを力y
 −)”II!極上に載[L、03F601oorte
yrmOHF3 50d/Elilの割合でエツチング
系内に導入し、その圧力(i=8paに保持した状態で
高周波(13,56Mn2)出力0.8 KW (0,
3W/m)t−印加し、エツチングを行なった。分光分
析によるモニタで終点検出した結果13分でエツチング
が終了した。この時のPEIG/Si 、P日G/ホト
レジストのエツチング速度比はそれぞれ10.2 、1
2.8であった。tた、このエツチング条件下では、電
極上はもちろんエツチング系内にもポリマの形成は全く
観察されなかった。
実施例2 実施例1に使用したと同一仕様のサンプルをカソード上
に載置し、03Fg0100g//mのガス全導入し、
圧力’t 5 pa として、0.8 KWの高周波出
力の条件でエツチングを行なった。その結果PS()の
エッチ速度は600λ/騨でIC1PEG/Si、 P
SG/ホトレジストの選択比はそれぞれ9.2.8.0
であった。
実施例3 ソリコンウェハ上の0.9μmのslo、膜上にポリシ
リコン膜0.4μm厚さにOVD法により形成し、実施
例1と同様な方法によりホトレジスト膜によりパターン
マスク形成を行なった。この試料全エツチング室に挿入
し、c、y6oカス 50m/xm 、 O1250d
1min 2 x ツチ7 り系内VC導入し、ガス圧
力全20pa として放電を行ない、ポリシリコン膜の
エツチング’を行なった。その結果ポリシリコンのエッ
チ速度Fi 2000 X/mix 。
下地5102及びホトレジスト膜との選択比はそれぞれ
15及び9の値が得られた。
実施例4 シリコン酸化膜上に形成した厚さ1000λのポリシリ
コン膜と、その上に形成したモリブデン4000Xの2
層膜のホトレジストによりバタンマスクを形成し、実施
例3と同一の条件下でエツチング全行なった。この2層
膜のエツチングは3分で終了した。下地5i02 、ホ
トレジストマスクに対する選択性は13及び7であった
。また、エツチングパターンは異方性形状を示し、下地
表面に汚染は観察されなかった。
実施例5 S1基板上に1μmのPEIG i形成し、ホトレジス
トによシマスフパターンを形成し、試料全アノード上に
載置して、カソード、アノード間のギャップを8.とじ
て、0311160ガx5Qd/m流し、ガス圧力フ0
pa、高周波出力1.5KWでPBGのエツチングを行
なった。その結果PEGのエッチ速度2200X/m、
シリコン及びホトレジストに対する選択比はそれぞれ2
0.11 が得られた。この条件でポリマの形成は全く
なく、下地Eli表面の荒れや、汚染は観察されなかっ
た。
実施例6 SiO□上のA1合金膜をRI刊により塩素を含むガス
、例えばC014またはB013混合ガスによシエッチ
ングを終了したのち、ガスi o、 F2Oと置換し、
100td/ymの流量と30 pa 0.6 KWの
条件で1分間のプラズマ処理を行なった。そのあと、本
試料全空気中に長時間放置してもA1の腐食は発生しな
かった。また、A1除去後の下地表面にポリマーの形成
は観察されなかった。

Claims (1)

  1. 【特許請求の範囲】 1、 半導体材料のドライエツチング方法において、少
    なくとも炭素、弗素及び酸素を同時に含む化合物を必須
    成分とするガスによりエツチングすることを特徴とする
    ドライエツチング方法。 l 少なくとも炭素、弗素及び酸素を同時に含む化合物
    がパーフルオロエポキサイド類である特許請求の範囲第
    1項記載のドライエツチング方法。 五 半導体材料のドライエツチング方法において、少な
    くとも炭素、弗素及び酸素を同時に含む化合物を必須成
    分とするガスにより、エツチング残渣や生成ポリマーを
    除去し、又はこれらの生成を防止し、あるいは半導体材
    料の腐食を抑制する工程を有することを特徴と+入ト+
    →スエ・ソ手ソ〃宝辻 4、 少なくとも炭素、弗素及び酸素を同時に含む化合
    物がパーフルオロエポキサイド類である特許請求の範囲
    第6項記載のドライエツチング方法。
JP58184399A 1983-10-04 1983-10-04 ドライエツチング方法 Granted JPS6077429A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP58184399A JPS6077429A (ja) 1983-10-04 1983-10-04 ドライエツチング方法
EP84111847A EP0140201A3 (en) 1983-10-04 1984-10-03 Dry-etching process
US06/657,524 US4581101A (en) 1983-10-04 1984-10-04 Dry-etching process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP58184399A JPS6077429A (ja) 1983-10-04 1983-10-04 ドライエツチング方法

Publications (2)

Publication Number Publication Date
JPS6077429A true JPS6077429A (ja) 1985-05-02
JPH0343776B2 JPH0343776B2 (ja) 1991-07-03

Family

ID=16152486

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58184399A Granted JPS6077429A (ja) 1983-10-04 1983-10-04 ドライエツチング方法

Country Status (3)

Country Link
US (1) US4581101A (ja)
EP (1) EP0140201A3 (ja)
JP (1) JPS6077429A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338399A (en) * 1991-02-12 1994-08-16 Sony Corporation Dry etching method
WO1998001899A1 (fr) * 1996-07-10 1998-01-15 Daikin Industries, Ltd. Gaz nettoyant
WO2003069659A1 (fr) * 2002-02-12 2003-08-21 Research Institute Of Innovative Technology For The Earth Gaz de nettoyage et gaz de gravure
WO2018159368A1 (ja) * 2017-02-28 2018-09-07 セントラル硝子株式会社 ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5180466A (en) * 1984-12-29 1993-01-19 Fujitsu Limited Process for dry etching a silicon nitride layer
DE3514094A1 (de) * 1985-04-16 1986-10-23 Schering AG, Berlin und Bergkamen, 1000 Berlin Herstellung metallischer strukturen auf anorganischen nichtleitern
JPS61242024A (ja) * 1985-04-19 1986-10-28 Matsushita Electronics Corp エツチング終点検出方法
IT1185964B (it) * 1985-10-01 1987-11-18 Sgs Microelettronica Spa Procedimento e relativa apparecchiatura per realizzare contatti metallo-semiconduttore di tipo ohmico
US4787941A (en) * 1986-06-30 1988-11-29 Wang Laboratories, Inc. Cleaning method for keyboard assemblies
US4874723A (en) * 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US4966870A (en) * 1988-04-14 1990-10-30 International Business Machines Corporation Method for making borderless contacts
US4998979A (en) * 1988-06-06 1991-03-12 Canon Kabushiki Kaisha Method for washing deposition film-forming device
US5290733A (en) * 1988-06-23 1994-03-01 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices including depositing aluminum on aluminum leads
EP0809283A3 (en) * 1989-08-28 1998-02-25 Hitachi, Ltd. Method of treating wafers
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
JPH04311033A (ja) * 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
KR950009281B1 (ko) * 1992-07-10 1995-08-18 현대전자산업주식회사 알루미늄 금속배선 형성방법
US5611210A (en) * 1993-03-05 1997-03-18 Ikon Corporation Fluoroiodocarbon blends as CFC and halon replacements
JP2618817B2 (ja) * 1993-07-09 1997-06-11 岩谷産業株式会社 半導体製造装置でのノンプラズマクリーニング方法
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
JPH09129612A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
IL119598A0 (en) * 1995-11-17 1997-02-18 Air Prod & Chem Plasma etch with trifluoroacetic acid or its derivatives
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US6209483B1 (en) * 1996-10-17 2001-04-03 Accord S. E. G. Apparatus and method for removing silicon dioxide residues from CVD reactors
JP2972786B2 (ja) * 1996-11-05 1999-11-08 工業技術院長 ドライエッチング用ガス
EP0903777A4 (en) * 1997-01-21 2005-09-14 Matsushita Electric Ind Co Ltd CONFIGURATION FORMATION METHOD
TW428045B (en) * 1997-08-20 2001-04-01 Air Liquide Electronics Chemic Plasma cleaning and etching methods using non-global-warming compounds
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6270689B1 (en) 1998-03-26 2001-08-07 Ikon Corporation Blend compositions of trifluoroiodomethane, tetrafluoroethane and difluoroethane
US6107208A (en) * 1998-06-04 2000-08-22 Advanced Micro Devices, Inc. Nitride etch using N2 /Ar/CHF3 chemistry
US6558570B2 (en) 1998-07-01 2003-05-06 Micron Technology, Inc. Polishing slurry and method for chemical-mechanical polishing
US5962345A (en) * 1998-07-13 1999-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce contact resistance by means of in-situ ICP
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
KR100319028B1 (ko) * 1999-06-21 2002-01-05 윤덕용 표면주사 현미경에 사용되는 탐침 및 그 제조 방법
KR100338769B1 (ko) * 1999-10-26 2002-05-30 윤종용 반도체 장치의 절연막 식각방법
JP3383939B2 (ja) * 2000-01-26 2003-03-10 日本電気株式会社 ドライエッチング方法
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
JP4186045B2 (ja) * 2000-11-08 2008-11-26 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
US6692579B2 (en) 2001-01-19 2004-02-17 Chartered Semiconductor Manufacturing Ltd. Method for cleaning semiconductor structures using hydrocarbon and solvents in a repetitive vapor phase/liquid phase sequence
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
JPWO2005117082A1 (ja) * 2004-05-31 2008-04-03 独立行政法人産業技術総合研究所 ドライエッチングガスおよびドライエッチング方法
JP4971593B2 (ja) * 2005-01-11 2012-07-11 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
TW201103972A (en) 2009-04-01 2011-02-01 Solvay Fluor Gmbh Process for the manufacture of etched items

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5359368A (en) * 1976-11-10 1978-05-29 Hitachi Ltd Plasma etching
JPS58150429A (ja) * 1982-03-03 1983-09-07 Hitachi Ltd ドライエツチング方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4260649A (en) * 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
JPS55158275A (en) * 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5359368A (en) * 1976-11-10 1978-05-29 Hitachi Ltd Plasma etching
JPS58150429A (ja) * 1982-03-03 1983-09-07 Hitachi Ltd ドライエツチング方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338399A (en) * 1991-02-12 1994-08-16 Sony Corporation Dry etching method
WO1998001899A1 (fr) * 1996-07-10 1998-01-15 Daikin Industries, Ltd. Gaz nettoyant
KR100497884B1 (ko) * 1996-07-10 2005-06-29 다이낑 고오교 가부시키가이샤 세정기체
WO2003069659A1 (fr) * 2002-02-12 2003-08-21 Research Institute Of Innovative Technology For The Earth Gaz de nettoyage et gaz de gravure
US7138364B2 (en) 2002-02-12 2006-11-21 Asahi Glass Company, Limited Cleaning gas and etching gas
WO2018159368A1 (ja) * 2017-02-28 2018-09-07 セントラル硝子株式会社 ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
JP2018141146A (ja) * 2017-02-28 2018-09-13 セントラル硝子株式会社 ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
US11566177B2 (en) 2017-02-28 2023-01-31 Central Glass Company, Limited Dry etching agent, dry etching method and method for producing semiconductor device

Also Published As

Publication number Publication date
EP0140201A3 (en) 1988-03-16
JPH0343776B2 (ja) 1991-07-03
US4581101A (en) 1986-04-08
EP0140201A2 (en) 1985-05-08

Similar Documents

Publication Publication Date Title
JPS6077429A (ja) ドライエツチング方法
KR102398461B1 (ko) 다중 적층을 에칭하기 위한 화학물질
US4412119A (en) Method for dry-etching
KR940000913B1 (ko) 플라즈마 에칭에 관한 원상태 포토레지스트의 캡핑방법
JPS6066823A (ja) 半導体エッチング方法
US4836887A (en) Chlorofluorocarbon additives for enhancing etch rates in fluorinated halocarbon/oxidant plasmas
JP2002500444A (ja) フッ素化されたカルボニル化合物を用いるエッチング及びクリニングの方法
EP0807968B1 (en) Etching a metal silicide with HCl and chlorine
JP4165916B2 (ja) テーパプロファイルエッチング方法
JP5850043B2 (ja) エッチングガスおよびエッチング方法
JP4219091B2 (ja) 半導体材料のエッチング方法
JPWO2002021586A1 (ja) ドライエッチングガスおよびドライエッチング方法
JP2002313776A (ja) ドライエッチング方法及びドライエッチング装置
EP0317793A2 (en) Binary chlorofluorocarbon chemistry for plasma etching
JP4164643B2 (ja) ドライエッチング方法及びパーフルオロ−2−ペンチンの製造方法
TW201712155A (zh) 用於金屬層的蝕刻劑組合物,用於使用該組合物蝕刻銅基金屬層的方法,用於製作用於液晶顯示裝置的陣列基板的方法及使用該方法製作的用於液晶顯示裝置的陣列基板
US6969685B1 (en) Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
JP2681058B2 (ja) ドライエッチング方法
WO1998019331A1 (fr) Composition de gaz pour gravure a sec et procede de gravure a sec
JP2004266077A (ja) Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
JP2001053059A (ja) ドライエッチング方法
JP2023067527A (ja) エッチングガス及びそれを用いたエッチング方法
JP2000349071A (ja) ケミカルドライエッチング方法
JPS59121843A (ja) ドライエツチング方法
JPH10199865A (ja) ドライエッチング用ガス組成物およびドライエッチング方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees