JP2002500444A - フッ素化されたカルボニル化合物を用いるエッチング及びクリニングの方法 - Google Patents

フッ素化されたカルボニル化合物を用いるエッチング及びクリニングの方法

Info

Publication number
JP2002500444A
JP2002500444A JP2000526968A JP2000526968A JP2002500444A JP 2002500444 A JP2002500444 A JP 2002500444A JP 2000526968 A JP2000526968 A JP 2000526968A JP 2000526968 A JP2000526968 A JP 2000526968A JP 2002500444 A JP2002500444 A JP 2002500444A
Authority
JP
Japan
Prior art keywords
etching
composition
etchant
group
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000526968A
Other languages
English (en)
Inventor
デミン,ティモシー・アール
リュリ,マシュー・エイチ
ファシムッラ,モハメッド・エイ
Original Assignee
アライドシグナル・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル・インコーポレイテッド filed Critical アライドシグナル・インコーポレイテッド
Publication of JP2002500444A publication Critical patent/JP2002500444A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

(57)【要約】 プラズマエッチング条件下で、材料をエッチング組成物に曝すことを含んでなる方法であって、該エッチング組成物が、約3000以下のGWP を有し、かつ F-CO-[(CR1R2)m -CO]n -F 及び F-CO-R3-CO-F であって、m=0、1、2、3、4、又は5;n=1;R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy+z=2x+1);R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1)である式からなる群から選択される式を有する少なくとも1のエッチング剤化合物を含んでなる方法;及びエッチング剤化合物を使用することによって表面をクリーニングする方法を含み;更に前記エッチング剤化合物とエッチング剤用改質剤をも含むエッチング剤組成物を含む。

Description

【発明の詳細な説明】
【0001】
【発明の分野】
本発明は、一般的には、ドライエッチング及びクリニングに関する。より詳し
くは、この発明は、半導体材料のプラズマエッチング及び半導体の製造に使用さ
れる装置のクリニングのためのフッ素化されたカルボニル化合物に関する。
【0002】
【発明の背景】
半導体デバイスは、ウェハーへの4つの基本的処方、即ち、層形成、パターン
化/エッチング、ドーピング、及び熱処理の反復適用によって製造される。ここ
で特に興味があるのは、ウェハーの表面から材料を選択的に除去することを包含
するパターン化/エッチングである。より特定すると、特定の幾何学的パターン
でウェハー表面にフォトレジストを選択的に適用してから、そのウェハーを化学
エッチング剤に曝すことにより、材料が除去される。フォトレジストにより覆わ
れたウェハーの領域はエッチング剤から保護されるが、残った領域はエッチング
剤によりある程度まで除去される。結果として、材料は、フォトレジストにより
画定された幾何学的パターンに除去サレル。“エッチング”という用語は、この
材料の選択的除去を意味する。
【0003】 2つのタイプのエッチング、つまりウェットエッチングとドライエッチングが
ある。ウェットエッチングは、ウェハーの表面上の未保護材料と反応する液体腐
蝕性試薬を使用して、溶媒によって運び去られる可溶性生成物を形成することを
包含する。ドライエッチングは、ウェハーの未保護表面から材料を、その材料と
の反応により化学的に又はその表面に衝撃を与えることにより物理的に除去する
活力のあるガス分子、イオン、及び/又はフリーラジカルを用いるプラズマ及び
プラズマ発生方法を意味する。このプラズマ法は、半導体の製造に使用される反
応器や他の装置の表面をクリーニングするためにも使用できる。かくして、本明
細書においてエッチング及びエッチング剤に向けられる説明は、クリーニング用
途にも当てはまる。これらプラズマ法に普通に当てられる他の用語には、プラズ
マエッチング、反応性イオンエッチング、高密度プラズマエッチング、イオンミ
リング、反応性イオンミリング、化学的イオンビームエッチング、及びスパッタ
エッチングが含まれる。最近、産業界は、ウェットエッチングからドライエッチ
ングへと移行している。というのは、後者はより精密なパターン化コントロール
を与えるからである。
【0004】 半導体産業がドライエッチングへと移行するにつれて、使用されるエッチング
化学物質や生成する副生成物の使用及び廃棄で環境問題が浮上している。エッチ
ングでは、エッチング化学物質の一部は、反応しないで反応物から種々の反応副
生成物と一緒に流出物中に存在する傾向がある。 例えば、四フッ化炭素、ヘキサフルオロエタン、ペルフルオロプロパン、三フ
ッ化窒素、ビス(トリフルオロメチル)ジスルフィド、及び六フッ化硫黄のよう
なエッチング化学物質、及び四フッ化炭素及びヘキサフルオロエタンのようなそ
れらのペルフルオロ化副生成物は、比較的高い地球温暖化能を有している。地球
温暖化能(GWP) は、CO2 よりも地球温暖化の原因になる化合物の能力を意味す
る。GWP は、化合物の見積大気寿命及びその赤外線吸収能に基づいて計算される
値である。GWP は、100年層位 (100-year horizon) が最も普通である異なる
時間層位 (time horizon) について、Intergovernmental Panel on Climate Cha
nge (IPCC) によって報告される。本明細書で用いられる場合、GWP は、他に断
りがない限り100年層位に基づく。諸政府及び国際条約は、そのような高GWP
化学物質の排出が減少されるか又は排除されることを要求している。これら制限
の結果として、半導体製造のためのこれら化学物質の商業的入手性が影響を被っ
ている。
【0005】 現在、プラズマエッチング及びクリーニングに付随する環境問題を和らげる努
力は、(1)少量のGWP 化学物質しか大気中に放出しないようにエッチング及び
/又はクリーニング法を最適化する;(2)排出ストリームからエッチング及び
/又はクリーニング化学物質をリサイクルして、大気へ放出することなく適切に
廃棄又は再使用できるようにする;(3)未反応エッチング及び/又はクリーニ
ング化学物質流出物を焼却して無害にする化学反応又は燃焼箱により排出ストリ
ーム中のエッチング及び/又はクリーニング化学物質を減ずる;及び(4)エッ
チング及び/又はクリーニング義務のために種々の代替化学物質を選択又は開発
する、という4つのカテゴリーのうちのいずれか1つに入る。第1のアプローチ
に関して、エッチング及びクリーニング法を最適化して放出を減少させることが
継続的に進展しているが、許容できるレベルまで放出を減少させるまでに至って
いない。化学物質をリサイクル及び減ずるアプローチに関しては、放出を減少さ
せることができるが、原価コストが比較的高く、そしてやはり減少レベルが依然
として不充分な傾向がある。従って、伝統的なエッチング化学物質を環境的に許
容できる物質に置換することは、考慮すべき解決策であると考えられる。
【0006】 従って、許容できる性能を有するが、副生成物又は未反応化学物質を大気中に
放出しても地球温暖化の有意な原因にならないエッチング及びクリーニング組成
物の必要性が存在している。本発明は、中でもこの必要性を満足する。
【0007】
【発明及び好ましい態様の説明】
本発明は、エッチング剤として有用でありかつ環境的に許容できるフッ素化カ
ルボニル化合物のファミリーを特定するものである。より具体的には、そのフッ
素化カルボニル化合物のファミリーには、次の2つの式:
【化1】 F-CO-[(CR1R2) m -CO]n -F (1) 〔式中、 m=0、1、2、3、4、又は5 n=0又は1 R1及びR2は、H 、F 又は x H y F z を表わす(式中、x=1又は2;及びy
+z=2x+1)〕;
【化2】 F-CO-R3-CO-F (2) 〔式中、 R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1)〕 のうちの1つを有する化合物が含まれる。
【0008】 本明細書において“エッチング剤化合物”というこれら化合物は、エッチング
剤又はクリーニング剤としてのそれらの使用にも拘らず、低いGWP を有しかつプ
ラズマエッチング/クリーニングの間に低いGWP の副生成物を形成する傾向にあ
るので、未反応化合物及び反応副生成物の反応流出物は比較的環境に優しい。環
境的に許容できることに加えて、これらエッチング剤化合物は、驚いたことに、
プラズマエッチング条件下で種々の材料とよく反応する。そのような材料の例に
は、金属又は半金属の炭化物、ホウ化物、及びケイ化物、例えば、タングステン
ケイ化物のような誘電体;金属又は半金属の酸化物、及び窒化物、例えば、二酸
化ケイ素、窒化ケイ素、オキシ窒化ケイ素、ホウ素リンシリケートガラス (boro
nphosphorus silicate glass) 、及びフルオロシリケートガラスのような絶縁体
;インジウムホスファイドのような III〜V族の半導体化合物;シリコン、多結
晶性シリコン、タングステン、チタン、バナジウム、ゲルマニウム、シリコン−
ゲルマニウムのような元素状材料;及びそれらの2又はそれを越える組み合わせ
が含まれるが、それらに限定されない。
【0009】 本発明の1つの側面は、上に特定したエッチング剤化合物を用いてエッチング
する方法を提供することである。1つの態様においては、その方法は、プラズマ
エッチング条件下で、ある材料を、約3000以下のGWP を有しかつn=1の式
(1)のエッチング化合物又は式(2)のエッチング剤化合物を含んでなるエッ
チング組成物に曝すことを含んでなる。別の態様では、その方法は、プラズマエ
ッチング条件下で、ある材料を、m及びn≧0の式(1)のエッチング剤化合物
又は式(2)のエッチング剤化合物を含んでなるエッチング組成物に曝すことを
含んでなる。別の態様では、その方法は、プラズマエッチング条件下で、非シリ
コン又は非二酸化ケイ素材料を、式(1)又は(2)のエッチング剤化合物を含
んでなるエッチング組成物に曝すことを含んでなる。
【0010】 本発明の他の側面は、上で特定したエッチング剤化合物を用いて、エッチング
チャンバー及び他の半導体加工デバイスのような装置の表面から蓄積膜又は残渣
をクリーニングする方法を提供することである。好ましい態様においては、その
エッチング法は、プラズマエッチング条件下で、蓄積膜又は残渣を、伝統的な高
GWP エッチング剤化学物質を実質的に含まずかつ式(1)又は(2)のエッチン
グ剤化合物を含んでなるエッチング組成物に曝すことを含んでなる。
【0011】 本発明の別の側面は、上で特定したエッチング剤化合物を含んでなるエッチン
グ/クリーニング組成物を提供することである。好ましい態様においては、その
組成物は、式(1)又は(2)のエッチング剤化合物、及びそのエッチング剤化
合物とは異なる別の材料をそのエッチング剤化合物のエッチング特性を増進又は
改質するために含んでなる。
【0012】 本発明のこれら種々の側面は、式(1)及び(2)のフッ素化カルボニル化合
物を、エッチング/クリーニング組成物に使用するのに効果的なエッチング剤化
合物として特定したことに関連している。好ましい態様においては、エッチング
剤化合物は、式(1)(式中、R1及びR2の少なくとも1は、フッ素又はフッ素含
有基であり;そしてn=1である);又は、式(2)(式中、R4-7の少なくとも
1は、フッ素又はフッ素含有基である)のいずれかから選択される。より好まし
くは、このエッチング剤化合物は、式(1)(式中、n=1;m=0、1、2、
又は3;及び、R2及びR3=F ;これによって、式(1)は -CO-(CF2) m -CO-F
に簡略化される)から選択される。最も好ましい態様においては、このエッチン
グ剤化合物は、オキサリルフルオリド(m=0及びn=1の式(1))である。
【0013】 これらのエッチング剤化合物は、プラズマエッチングの条件下で分解されると
、多種、多様な材料の表面と化学的に反応して揮発性の化合物を形成する傾向の
ある反応性種を生成させ得ることが見いだされた。今日まで、この能力は認めら
れていなかった。本発明での使用に極めて好ましい化合物であるオキサリルフル
オリド(C2O2F2又はF-CO-CO-F )は、Si上のSiO2をエッチングするエッチング組
成物において、エッチング剤用改質剤として使用されてきたが(米国特許第5,
445,712号及び同第5,376,234号明細書を参照されたい)、効果
的なエッチング剤自体としては認識されていなかった。加えて、本発明のもう1
つの好ましい化合物であるカルボニルジフルオリド(F-CO-F又はCO-F2 )は、Si
上のSiO2をエッチングするために酸素と組み合わされていたが(23.1 IBM J. De
velop 、 33(1979年、1月号)のJ.W.コーバン[J. W. Corban]、エリ
ック・ケイ[Eric Kay]著「シリコンのフルオロカーボン・プラズマエッチング
のある化学的様相とその化合物[Some Chemical Aspects of the Fluorocarbon
Plasma Etching of Silicon and its Compounds ]」を参照されたい)、広範囲
の非シリコン系又は非二酸化ケイ素系材料をエッチングするその能力は認識され
ていなかった。
【0014】 本発明で使用するためのエッチング剤化合物のGWP は低い。従来技術のエッチ
ング組成物は、ペルフッ素化エッチング剤又は硫黄系エッチング剤の使用に起因
して、高GWP を有する傾向がある。これら在来エッチング剤の例と、本発明での
使用に好ましいエッチング剤化合物であるオキサリルフルオリド(C2O2F2)との
比較を示すと、下記のとおりである:
【0015】
【表1】 エッチング剤 GWP C2O2F2 0 SF6 23900 CF3SCF3 1900 CF4 6500 C2F6 9200 CHF3 11700
【0016】 GWP が0であるオキサリルフルオリドが、従来から使用されていたエッチング
剤より環境上はるかに許容できるものであることは明白である。一つの好ましい
態様において、本発明の組成を持つエッチング組成物又は洗浄組成物では、在来
エッチング剤の使用が最小限に抑えられる。この組成物は、GWP が5000より
大きいペルフッ素化エッチング剤、及びペルフッ素化された側鎖を持ち、GWP が
1500より大きい硫黄系エッチング剤を実質的に含んでいないことが好ましい
。本明細書で用いられる「実質的に含まれていない」とは、エッチング組成物中
の容量濃度が約1%以下、好ましくは0.1%以下であることを意味する。この
エッチング剤化合物のGWP は、約1500以下であるのが好ましく、約1000
以下がさらに好ましく、そして約500以下がそれ以上に好ましい。最も好まし
い態様では、本発明のエッチング剤化合物は本質的にGWP を有していない。
【0017】 本発明によれば、これらのエッチング剤化合物からGWP が低いエッチング又は
洗浄組成物を処方することが可能である。本明細書で使用される組成物の「GWP
」とは、その組成物の構成成分のGWP の重量平均を意味する。例えば、GWP 10
00の化合物60重量%とGWP 500の化合物40重量%から成る組成物は、8
00のGWP を有することになろう。もう1つの好ましい態様では、そのエッチン
グ組成物のGWP は約3000以下であり、1500以下であるのがさらに好まし
く、約1000以下がそれよりさらに好ましく、約500以下がさらにそれ以上
に好ましく、そして約100以下であるのがそれよりさらにまた好ましい。
【0018】 本発明で使用するためのエッチング剤化合物は、その組成物のGWP に対してほ
とんど寄与しないばかりでなく、反応流出液中には比較的少量の未反応エッチン
グ剤化合物しか存在しないと言うそのような効率で消費される傾向がある。さら
に、これらの化合物は、解離及び/又は反応して低GWP の流出液を生成させる。
流出液の「GWP 」とは、流出液の構成成分のGWP の重量平均を意味する。好まし
い態様において、その流出液はCF4 のような在来の高GWP 反応生成物を比較的少
量でしか含まない。例えば、オキサリルフルオリドは本質的に完全に反応するが
、その分解化合物として挙げられるものは、全て環境に対して比較的優しいHF、
CO2 及びCOである。その流出液のGWP は約5000以下であるのが好ましく、約
3000以下がさらに好ましく、約1500以下がなおも好ましく、約1000
以下がそれよりさらに好ましく、約500以下がまたそれ以上に好ましく、そし
て100以下がなおもそれ以上に好ましい。
【0019】 GWP が低いことはさておき、本発明のエッチング/洗浄組成物、及びその組成
物から形成される反応生成物の実質的な部分は、また、常用のスクラビング技術
と化学的システムを用いる水スクラビング処理によくなじむ。一般に使用される
エッチング剤であるCF4 、C2F6及びC3F8と比較すると、それらエッチング剤は極
く一部しか消費されず、それらペルフルオロカーボンは流出液中に実質的な量で
存在する。これらのペルフルオロカーボンは、(それらの上記GWP で示されるよ
うに)環境上許容することもできないし、スクラビングで容易に除去することも
できない。
【0020】 従って、本発明のエッチング/洗浄組成物は、それらが低GWP であることと、
それらの流出液をより効率的にスクラビングすることができると言う能力のため
に、地球温暖化にあずかる恐れがほとんどなしに、また複雑でコストの高い捕捉
/リサイクルシステム及び/又は減少システムを必要とすることなく使用するこ
とができる。
【0021】 本発明で使用するためのエッチング剤化合物は商業的に入手可能であるか、又
は商業的に入手可能な出発物質から容易に合成することができる。例えば、フラ
ンツ(Franz )に対して発行された米国特許第4,260,561号明細書には
、カルボニルジフルオリドのフッ化水素によるフッ素化で、対応するフッ素化カ
ルボニルジフルオリドを製造する方法が開示される。加えて、トクヤマ(Tokuya
ma)等に対して発行された特開平6−99358号公報には、オキサリルクロリ
ドを非プロトン系溶媒中でフッ化アルカリ金属を用いて反応させることにより、
オキサリルフルオリドを製造する方法が教示される。
【0022】 本発明のエッチング/洗浄組成物におけるエッチング剤化合物(1種又は複数
種)の量は、所望とされる程度のエッチング能を付与するのに十分なものである
べきである。ほとんどの用途で、エッチング組成物におけるエッチング剤化合物
の濃度は約1容量%以上であればよいと考えられる。エッチング組成物において
、そのエッチング剤化合物は容量で約20%以上含まれるべきことが好ましく、
約50%以上含まれるべきことがさらに好ましい。
【0023】 本発明のエッチング/洗浄組成物は、エッチング剤化合物(1種又は複数種)
に加えて、他の成分も含んでいることができる。その追加成分は、エッチングを
助け及び/又は「選択性」を与えるために用いることができる。
【0024】 「選択性」なる用語は、1種の物質をもう1種の物質とは異なるエッチング速
度でエッチングすることができるエッチング組成物のそのような能力を意味する
。多種多様な物質と反応するこれらエッチング剤化合物の能力は、まさにそのエ
ッチング剤の組成物を洗浄のような用途に無差別に十分適合させるようにするけ
れども、その組成物があらゆるタイプの膜を除去することが望ましく、かつ等し
く存在する場合には、それはエッチング用途に対して十分な選択性を欠く可能性
がある。エッチング用途では、最低でも、エッチング組成物がホトレジストより
大きな速度で、そのホトレジストの下にある材料をエッチングするように、ある
程度の選択性が必要とされる。本発明で使用するためのエッチング剤化合物は、
この「ホトレジスト」選択性を有する。
【0025】 しかし、エッチングされるべき基材の異なる材料間で選択性が必要とされるあ
る種の用途が存在する。このような選択性を付与するには、本発明のエッチング
組成物に、少なくとも1種のエッチング剤用改質剤を加えることが望ましいだろ
う。エッチング剤用改質剤とそれらの機能はこの技術分野で周知である(例えば
、J. VAC. SCI. TECHNOL. 、A14(4)(1996年7/8月号)のツァング(Zhan
g )等による「フルオロカーボン系高密度プラズマ[Fluorocarbon High Densit
y Plasmas ]VII 」、「選択的SiO2- 対-Si3N4系高密度プラズマエッチングプロ
セスの研究[Investigation of Selective SiO2-to-Si3N4 High Density Plasma
Etch Processes ]」を参照されたい)。従って、当業者であれば、所望とされ
る選択性を達成するために使用するエッチング剤用改質剤のタイプと量は決定可
能である。
【0026】 例えば、水素及び/又は炭化水素及びヒドロフルオロカーボンのような水素含
有化合物のエッチング組成物に対する添加は、ある種特定の材料であって、それ
以外の材料にはないが、その特定材料の表面における重合を促進する傾向がある
。重合はエッチング速度を遅くする傾向がある。例えば、オキサリルフルオリド
は、それ単独では、材料間であまり大きな選択性を示さないが、他の水素含有ガ
スと共に使用されるときは、それは半導体の製造に使用される材料、例えば多結
晶性Si、単結晶Si、SiO2及びSi3N4 に対して有利なエッチング選択性を示す傾向
がある。窒素のエッチング組成物に対する添加は、同様の様式で、そのプラズマ
反応の化学を変え、それによってある種特定の表面上での重合を増進する傾向が
ある。酸素のような他の化合物には、SiO2のような酸素含有物質のエッチング速
度を速める傾向がある。
【0027】 1つの好ましい態様において、エッチング剤用改質剤は、O2、H2、N2、C1-C5 炭化水素、C1-C5 ヒドロフルオロカーボン(HFC 類)、C1-C4 ペルフルオロカー
ボン、及び本発明のエッチング剤化合物とは異なる化合物より成る群から選ばれ
るが、R1、R2又はR4-7の少なくとも1つが水素である前記式(1)又は(2)か
ら選ばれる一般式を有する化合物を含んで成るものである。このエッチング剤用
改質剤は式(1)及び(2)に含まれるものでもないし、それがC2-C4 ペルフル
オロカーボンでもないことがさらに好ましい。このエッチング剤用改質剤は、O2 、H2、N2、CH4 及びC2-C4HFC類から選ばれるのがさらにそれ以上に好ましい。
【0028】 ペルフルオロカーボンがそれらのフッ素含有量が高いが故に好ましい従来のエ
ッチング剤化合物とは違って、本発明の組成物においては、HFC 類がそれらのGW
P と重合能がより低いことに起因して、エッチング剤用改質剤として好ましい。
さらに好ましい態様においては、エッチング剤用改質剤は、例えばペンタフルオ
ロプロパン、ヘキサフルオロプロパン、テトラフルオロエタン及びペンタフルオ
ロエタンの異性体のようなHFC である。それよりなおも好ましい態様では、HFC
は1,1,1,3,3−ペンタフルオロプロパンか、1,1,1,3,3,3−
ヘキサフルオロプロパンのいずれかである。
【0029】 本発明のエッチング/洗浄組成物中に存在するエッチング剤用改質剤の量は、
所望とされる材料間選択性を付与するに足る量であるべきである。有効な選択性
は、エッチング剤用改質剤のエッチング組成物中濃度が容量で約0.1〜約99
%、さらに好ましくは約5〜約60%の範囲である場合に得られることが見いだ
された。 エッチング組成物に他の成分を含めることも好ましいだろう。例えば、特に蒸
気圧が低いエッチング組成物に揮発性を与えるには、エッチング剤化合物を、ア
ルゴン、ヘリウム又はその両者の混合物のような連行用キャリヤガスを用いてエ
ッチング装置に導入することが有益であろう(或いはまた、液体供給原料系を用
いて低蒸気圧エッチング組成物を導入することもできる)。さらに、材料表面の
イオン衝撃性を向上させるためには、例えばアルゴン、ヘリウム又はその両者の
混合物のような高イオン化エネルギーガスを、そのプロセスに加えることが望ま
しいだろう。
【0030】 エッチング/洗浄組成物中に存在する連行用キャリヤガス又は高エネルギーガ
スの量は、所望とされる揮発性又は追加のイオン濃度を付与するに足る量である
べきである。ほとんどの用途で、容量で約0.1〜約99%、好ましくは約5〜
約60%のキャリヤガス又は高エネルギーガスを含んでいるエッチング組成物で
適切な結果が得られると考えられる。
【0031】 本発明のエッチング法を実施する当たっては、従来の装置と技術を用いること
ができる。一般的に言えば、基材をエッチングするには、1つ又は2つ以上のウ
ェハーをチャンバーに入れ、その圧力を真空ポンプで下げる。プラズマは、適切
なエッチング組成物を低圧チャンバーに導入し、次いでそのチャンバー中の内容
物にRF場を印加することによって形成される。この状態において、エッチング組
成物のエネルギーが与えられた種が、材料表面に衝突することによって物理的に
か、又は上記真空チャンバーから注入することができるSiF4のような揮発性物質
を形成することによって化学的に除去されるべき材料を攻撃する。このプロセス
は、所望量の材料がウェハー表面から除去されたときに停止される。プラズマエ
ッチングの概説は、W.カーン(W. KERN )著・「薄膜プロセス(THIN FILM PR
OCESS )」(1978年)及び「プラズマエッチング及びその入門(PLASMA ETC
HING & INTRODUCTION )」(B.M.マノス[B. M. Manos ]等の編集、198
9年)に与えられている。
【0032】 周知のように、プラズマエッチングプロセスには、得られる結果に影響を及ぼ
し得る多数の運転条件が存在する。これらの条件には、例えばプラズマエッチン
グのタイプ(例えば、反応性イオンエッチング、プラズマエッチング及び高密度
エッチング)、エッチング組成物の流量、ウェハー温度、圧力、電力、時間及び
バイアス電圧がある。これらパラメーターの相互関係は、ハードウエアの配置と
エッチングされる材料の関数である。プラズマエッチング及び洗浄の当業者は、
従って、これらのパラメーターを、所望の材料を満足にエッチングするように変
えることができる。典型的な運転条件に、1分当たり約1〜約500標準立方セ
ンチメートル(sccm)のエッチングガス流量;約−200〜約200℃のウェハ
ー温度、約0.05〜約500ミリトルの圧力;約20〜約5000ワットの電
力;及びエッチング/洗浄されるウェハー又は物品を横断して印加される、約1
〜約500ボルトDCの範囲のバイアス電圧がある。エッチングの時間は除去され
るべき所望材料量に依存するが、数秒から数時間の範囲である。オキサリルフル
オリドの使用では、反応性イオンエッチング法を採用し、約1〜約200sccm、
好ましくは約5〜約100sccmのエッチングガス流量;約0.05〜約20ミリ
トル、好ましくは約0.1〜約10ミルトルの圧力;約0〜約150℃、好まし
くはほぼ室温のウェハー温度;約100〜約1000ワット、好ましくは約30
0〜約700ワットの電力;及び約10〜約200ボルトDC、好ましくは約25
〜約175ボルトDCのバイアス電圧を用いると、効果的なエッチングを達成でき
ることが見いだされた。 次の実施例は、本発明の実施の例証となるものである。
【0033】
【実施例】実施例1〜8 この第一の8実施例は、オキサリルフルオリドの、各種材料上における、色々
な運転条件下でのエッチング能を示すものである。この特定の条件と平均エッチ
ング速度とを下記の表1に示す。 試験は、アステックス(ASTeX :登録商標)源を備えるロック・ロード・プラ
ズマ・サーム型(lock load Plasma Therm)電子サイクロトロン共鳴(ECR )エ
ッチング装置中で、色々な材料で層状とされたウェハーを用いて行われた。各実
験において、そのチャンバーに単結晶シリコンウェハー、多結晶シリコンウェハ
ー(厚さ10,000Å;SiO2被覆Siウェハー上にLPCVD 法で蒸着)、二酸化ケ
イ素ウェハー(厚さ5000Å;Siウェハー上にLPCVD 法で蒸着)及び窒化ケイ
素ウェハー(Siウェハー上にLPCVD 法で蒸着;厚さ5000Å)を入れた。質量
流量計を用いて20sccmのオキサリルフルオリドの連続流を導入した。ウェハー
温度はほぼ室温であった。各実験について、ある特定のエッチング条件を表1に
示されるとおりに変えた。各試験において、エッチングを5分後に停止させ、そ
して除去された材料の量を楕円偏光測定法により各ウェハー上の7カ所の位置で
測定し、材料の平均除去量に基づいてエッチング速度を計算した。以下の表1は
、それらの結果を示すものである。
【0034】
【表2】
【0035】 上記の実施例は、半導体の製造において一般に使用される材料がオキサリルフ
ルオリドを使用するプラズマエッチングで効率的にエッチングされることを示し
ている。さらに、それらの結果は、エッチングは広範囲の条件下で好結果を以て
遂行され得ること、及びこれらの条件は所望とされる結果を達成するように変更
可能であることを示している。 表1に記載される結果に加えて、これらの実験後にその真空チャンバーを調べ
たが、その器壁には沈着物は認められなかった。このことは、エッチング剤化合
物としてのオキサリルフルオリドのもう1つの利点、即ち装置の洗浄間時間がよ
り長いことを明らかにするものであった。
【0036】実施例9 この実施例は、オキサリルフルオリドがホトレジストではなくシリコンをエッ
チングするのに十分な選択性を有し、従って半導体の製造に実用可能であること
を示すものである。シリコンウェハーをホトレジストで被覆し、パターン化し、
そして実施例5と同様の条件下でエッチングした。次いで、5分後にそのホトレ
ジストを除去した。得られた構造物を電子顕微鏡を用いて分析すると、シリコン
がホトレジストよりも大きい速度でエッチングされることが明らかになった。
【0037】実施例10〜14 これらの実施例は、エッチング組成物にエッチング剤用改質剤を加えることに
よって選択性が高まることを示すものである。次の典型的な組成物は本発明の範
囲内のものであって、20容量%のオキサリルフルオリド及び80容量%の以下
に示されるエッチング剤用改質剤から成る:
【0038】
【表3】 実施例No. エッチング剤用改質剤 10 CH4 11 O2 12 H2 13 CF3CH2CHF3 14 F-CO-CH2-CO-F これらの組成物は1種の材料をもう1種の材料より選択的にエッチングし、ウ
ェハーの異なる基材、例えばSi上のSiO2をエッチングするのに適している。
【手続補正書】
【提出日】平成12年7月25日(2000.7.25)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SZ,UG,ZW),EA(AM ,AZ,BY,KG,KZ,MD,RU,TJ,TM) ,AL,AM,AT,AU,AZ,BA,BB,BG, BR,BY,CA,CH,CN,CU,CZ,DE,D K,EE,ES,FI,GB,GE,GH,GM,HU ,ID,IL,IN,IS,JP,KE,KG,KP, KR,KZ,LC,LK,LR,LS,LT,LU,L V,MD,MG,MK,MN,MW,MX,NO,NZ ,PL,PT,RO,RU,SD,SE,SG,SI, SK,SL,TJ,TM,TR,TT,UA,UG,U Z,VN,YU,ZW (72)発明者 リュリ,マシュー・エイチ アメリカ合衆国ニューヨーク州14096,ラ ンカスター,スキレル・ラン 5 (72)発明者 ファシムッラ,モハメッド・エイ アメリカ合衆国メリーランド州21042,エ リオット・シティ,ハノン・コート 2408 Fターム(参考) 5F004 AA16 BA00 DA00 DA01 DA24 DA25 DA26 DB01 DB02 DB03 DB06 DB07 DB08 DB10 DB15 DB17 DB19 5F045 BB14 BB20 EB06 EB15

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 プラズマエッチング条件下で、材料をエッチング組成物に曝
    すことを含んでなる方法であって、該エッチング組成物が、約3000以下のGW
    P を有し、かつ F-CO-[(CR1R2)m -CO]n -F 及び F-CO-R3-CO-F であって、 m=0、1、2、3、4、又は5; n=1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1) である式からなる群から選択される式を有する少なくとも1のエッチング剤化合
    物を含んでなる方法。
  2. 【請求項2】 エッチング組成物が約1500以下のGWP を有する、請求項
    1記載の方法。
  3. 【請求項3】 エッチング組成物が約1000以下のGWP を有する、請求項
    2記載の方法。
  4. 【請求項4】 エッチング剤化合物が、式 F-CO-[(CR1R2)m -CO]n -F を有
    し、m=0、1、2、又は3;及びR1及びR2=F である、請求項3記載の方法。
  5. 【請求項5】 エッチング剤化合物が、F-CO-CO-F 及び F-CO-(CF2) m -CO-
    F からなる群から選択される式を有する、請求項4記載の方法。
  6. 【請求項6】 エッチング剤化合物が、式F-CO-CO-F を有する、請求項5記
    載の方法。
  7. 【請求項7】 エッチング組成物が、プラズマエッチングに選択性を付与す
    るために、更に、少なくとも1種のエッチング剤用改質剤を含んでなる、請求項
    1記載の方法。
  8. 【請求項8】 エッチング剤用改質剤が、O2、H2、N2、C1-C4 炭化水素、C1 -C4 ペルフルオロカーボン、及びC1-C5 ヒドロフルオロカーボンからなる群から
    選択される、請求項7記載の方法。
  9. 【請求項9】 エッチング剤用改質剤が、ペンタフルオロプロパン、ヘキサ
    フルオロプロパン、テトラフルオロエタン、及びペンタフルオロエタン、及びそ
    れらの2又はそれを越える組み合わせからなる群から選択される少なくとも1の
    ヒドロフルオロカーボンを含んでなる、請求項8記載の方法。
  10. 【請求項10】 エッチング剤用改質剤が、前記エッチング剤化合物とは異
    なる化合物であり、かつ F-CO-[(CR1R2)m -CO]n -F 及び F-CO-R3-CO-F であっ
    て、 m=1、2、3、4、又は5; n=0又は1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1)であり;そ
    して R1、R2、及びR4-7の少なくとも1が水素又は水素含有基である 式からなる群から選択される、請求項7記載の方法。
  11. 【請求項11】 材料が、金属又は半金属の炭化物、ホウ化物、及びケイ化
    物からなる群から選択される誘電体;金属又は半金属の酸化物、及び窒化物、ホ
    ウ素リンシリケートガラス、及びフルオロシリケートガラスからなる群から選択
    される絶縁体; III〜V族の半導体化合物;シリコン、多結晶性シリコン、タン
    グステン、チタン、バナジウム、ゲルマニウム、及びシリコン−ゲルマニウムか
    らなる群から選択される元素状材料;及びそれらの2又はそれを越える組み合わ
    せからなる群から選択される、請求項1記載の方法。
  12. 【請求項12】 材料が、1又はそれを越える層の半導体を含んでなる、請
    求項1記載の方法。
  13. 【請求項13】 プラズマエッチング条件下で、材料をエッチング組成物に
    曝すことを含んでなる方法であって、該エッチング組成物が、5000を越える
    GWP を有するフルオロカーボン化合物及びペルフルオロ化された側鎖を有しかつ
    約1500を越えるGWP を有する硫黄をベースとする化合物を実質的に含まず、
    かつ F-CO-[(CR1R2)m -CO]n -F 及び F-CO-R3-CO-F であって、 m=0、1、2、3、4、又は5; n=1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1) である式からなる群から選択される式を有する少なくとも1のエッチング剤化合
    物を含んでなる方法。
  14. 【請求項14】 エッチング組成物が、O2、H2、N2、CH4 、C1-C5 ヒドロフ
    ルオロカーボンからなる群から選択されるエッチング剤用改質剤を更に含んでな
    る、請求項13記載の方法。
  15. 【請求項15】 エッチング組成物を用いて、非シリコン又は非二酸化ケイ
    素材料の表面をプラズマエッチングする方法であって、該エッチング組成物が、
    F-CO-[(CR1R2) m -CO]n -F 及び F-CO-R3-CO-F であって、 m=0、1、2、3、4、又は5; n=0又は1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1) である式からなる群から選択される式を有する少なくとも1のエッチング剤化合
    物を含んでなる方法。
  16. 【請求項16】 エッチング組成物を用いて、材料の表面をプラズマエッチ
    ングする方法であって、該エッチング組成物が、F-CO-[(CR1R2) m -CO]n -F 及
    び F-CO-R3-CO-F であって、 m=1、2、3、4、又は5; n=1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1) である式からなる群から選択される式を有する少なくとも1のエッチング剤化合
    物を含んでなる方法。
  17. 【請求項17】 エッチング剤が、約250〜約300(・/分)のエッチ
    ング速度、2.45GHzで約350ワットのプラズマ電力、約1mトルの圧力
    、及び約41ボルトのDCバイアスで、Si3N4 をエッチングする、請求項16記
    載の方法。
  18. 【請求項18】 表面をクリーニングしてそれに付着した残渣を除去する方
    法であって、それをエッチング組成物と接触させることにより、前記表面をプラ
    ズマクリーニングすることを含んでなり、前記エッチング組成物が、5000を
    越えるGWP を有するフルオロカーボン化合物及びペルフルオロ化された側鎖を有
    しかつ約1500を越えるGWP を有する硫黄をベースとする化合物を実質的に含
    まず、かつ F-CO-[(CR1R2)m -CO]n -F 及び F-CO-R3-CO-F であって、 m=0、1、2、3、4、又は5; n=1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1) である式からなる群から選択される式を有する少なくとも1のエッチング剤化合
    物を含んでなる方法。
  19. 【請求項19】 エッチング剤化合物が、式 F-CO-[(CR1R2)m -CO]n -F 及
    び F-CO-R3-CO-F であって、m=0、1、2、又は3;及びR1及びR2=F である
    式からなる群から選択される式を有する、請求項18記載の方法。
  20. 【請求項20】 エッチング剤が、F-CO-CO-F 及び F-CO-(CF2) m -CO-F か
    らなる群から選択される式を有する、請求項19記載の方法。
  21. 【請求項21】 エッチング剤が、式F-CO-CO-F を有する、請求項20記載
    の方法。
  22. 【請求項22】 エッチング組成物であって: (A)F-CO-[(CR1R2) m -CO]n -F 及び F-CO-R3-CO-F であって、 m=0、1、2、3、4、又は5; n=1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1) である式からなる群から選択される一般式を有する化合物;及び (B)前記組成物のエッチング特性を増進又は改質するための前記エッチン
    グ剤化合物とは異なる第2成分 を含んでなる組成物。
  23. 【請求項23】 第2成分が前記組成物に選択性を付与するためのエッチン
    グ剤用改質剤である、請求項22記載の組成物。
  24. 【請求項24】 エッチング剤用改質剤が、O2、H2、N2、CH4 、CF4 、及び
    C1-C5 ヒドロフルオロカーボンからなる群から選択される、請求項23記載の組
    成物。
  25. 【請求項25】 エッチング剤用改質剤が、ペンタフルオロプロパン、ヘキ
    サフルオロプロパン、テトラフルオロエタン、及びペンタフルオロエタン、及び
    それらの2又はそれを越える組み合わせからなる群から選択される少なくとも1
    のヒドロフルオロカーボンを含んでなる、請求項25記載の組成物。
  26. 【請求項26】 エッチング剤用改質剤が、化合物(A)とは異なる化合物
    であり、かつ F-CO-[(CR1R2)m -CO]n -F 及び F-CO-R3-CO-F であって、 m=1、2、3、4、又は5; n=0又は1; R1及びR2は、H 、F 又は Cx H y F z を表わす(式中、x=1又は2;及びy
    +z=2x+1); R3は、CR4=CR5 、R6R7C=C 又は C≡C を表わす(式中、R4-7は、H 、F 又は C x H y F z を表わす(式中、x=1又は2;及びy+z=2x+1)であり;そ
    して R1、R2、及びR4-7の少なくとも1が水素又は水素含有基である 式からなる群から選択される式を有する、請求項23記載の組成物。
  27. 【請求項27】 第2成分が、エッチング組成物に揮発性を付与するキャリ
    ヤガスである、請求項22記載の組成物。
  28. 【請求項28】 第2成分が、エッチング組成物のエッチング速度を増進す
    る高イオン化ガスである、請求項22記載の組成物。
  29. 【請求項29】 第2成分が、He、Ar、及びそれらの組み合わせからなる群
    から選択される、請求項22記載の組成物。
JP2000526968A 1997-12-31 1998-12-23 フッ素化されたカルボニル化合物を用いるエッチング及びクリニングの方法 Pending JP2002500444A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/001,325 1997-12-31
US09/001,325 US6635185B2 (en) 1997-12-31 1997-12-31 Method of etching and cleaning using fluorinated carbonyl compounds
PCT/US1998/027429 WO1999034429A1 (en) 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds

Publications (1)

Publication Number Publication Date
JP2002500444A true JP2002500444A (ja) 2002-01-08

Family

ID=21695460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000526968A Pending JP2002500444A (ja) 1997-12-31 1998-12-23 フッ素化されたカルボニル化合物を用いるエッチング及びクリニングの方法

Country Status (6)

Country Link
US (1) US6635185B2 (ja)
EP (1) EP1042800A1 (ja)
JP (1) JP2002500444A (ja)
KR (1) KR100603654B1 (ja)
AU (1) AU2011099A (ja)
WO (1) WO1999034429A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016529740A (ja) * 2013-09-09 2016-09-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチングガスを用いて半導体構造をエッチングする方法
JP2017135375A (ja) * 2016-01-12 2017-08-03 エフ・イ−・アイ・カンパニー 荷電粒子ビーム誘起エッチング

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
CN1258811C (zh) * 2001-02-14 2006-06-07 先进微装置公司 控制蚀刻选择性的方法和装置
US20070290166A1 (en) * 2001-03-14 2007-12-20 Liu Feng Q Method and composition for polishing a substrate
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7125496B2 (en) * 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
JP3364488B1 (ja) * 2001-07-05 2003-01-08 東京エレクトロン株式会社 反応容器のクリーニング方法及び成膜装置
US7432207B2 (en) * 2001-08-31 2008-10-07 Tokyo Electron Limited Method for etching object to be processed
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US20030048591A1 (en) * 2001-09-10 2003-03-13 Saturn Vac Co., Ltd. Desmearing process/apparatus for pulse-type D.C. plasma
DE10146888C1 (de) * 2001-09-24 2003-04-10 Infineon Technologies Ag Verfahren zum Ätzen einer Schicht in einem Graben und Verfahren zur Herstellung eines Grabenkondensators
JP3759895B2 (ja) * 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
CN100355033C (zh) * 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
TWI260735B (en) * 2002-01-18 2006-08-21 Nanya Technology Corp Method preventing short circuit between tungsten metal wires
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US20040009667A1 (en) * 2002-02-07 2004-01-15 Etsuo Iijima Etching method
JP4865978B2 (ja) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US6972265B1 (en) * 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
JP2004031546A (ja) * 2002-06-25 2004-01-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US8080453B1 (en) 2002-06-28 2011-12-20 Cypress Semiconductor Corporation Gate stack having nitride layer
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7018929B2 (en) * 2002-07-02 2006-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Method for reducing a low volatility byproduct from a wafer surface following an etching process
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US20040026369A1 (en) * 2002-08-12 2004-02-12 Chentsau Ying Method of etching magnetic materials
US6893974B1 (en) * 2002-09-05 2005-05-17 Cypress Semiconductor Corp. System and method for fabricating openings in a semiconductor topography
US7223701B2 (en) * 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP4119726B2 (ja) * 2002-10-15 2008-07-16 東京エレクトロン株式会社 プラズマ処理方法
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP4302965B2 (ja) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
US7160813B1 (en) * 2002-11-12 2007-01-09 Novellus Systems, Inc. Etch back process approach in dual source plasma reactors
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US6951825B2 (en) * 2003-03-17 2005-10-04 Sharp Laboratories Of America, Inc. Method of etching a SiN/Ir/TaN or SiN/Ir/Ti stack using an aluminum hard mask
WO2004086143A2 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Multi-step process for etching photomasks
JP3973587B2 (ja) * 2003-03-24 2007-09-12 俊夫 後藤 表面処理方法および表面処理装置
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
WO2004095559A1 (ja) * 2003-04-22 2004-11-04 Tokyo Electron Limited シリコン酸化膜の除去方法及び処理装置
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US6989105B2 (en) * 2003-06-27 2006-01-24 International Business Machines Corporation Detection of hardmask removal using a selective etch
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
GB0323001D0 (en) * 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
US7081411B2 (en) * 2003-10-18 2006-07-25 Northrop Grumman Corporation Wafer etching techniques
US7196017B2 (en) * 2003-10-24 2007-03-27 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Method for etching smooth sidewalls in III-V based compounds for electro-optical devices
US7425512B2 (en) * 2003-11-25 2008-09-16 Texas Instruments Incorporated Method for etching a substrate and a device formed using the method
EP1557875A1 (en) * 2003-12-29 2005-07-27 STMicroelectronics S.r.l. Process for forming tapered trenches in a dielectric material
US20050176198A1 (en) * 2004-02-11 2005-08-11 Kudelka Stephan P. Method of fabricating bottle trench capacitors using an electrochemical etch with electrochemical etch stop
US7262137B2 (en) * 2004-02-18 2007-08-28 Northrop Grumman Corporation Dry etching process for compound semiconductors
US7081415B2 (en) * 2004-02-18 2006-07-25 Northrop Grumman Corporation Method of dry plasma etching semiconductor materials
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7378226B2 (en) * 2004-04-20 2008-05-27 Headway Technologies, Inc. Ozone-assisted bi-layer lift-off stencil for narrow track CPP-GMR heads
US20060000796A1 (en) * 2004-06-30 2006-01-05 Elliot Tan Method for controlling critical dimensions and etch bias
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
KR100621562B1 (ko) * 2004-07-30 2006-09-14 삼성전자주식회사 Co 가스에 의해 형성된 선택적 폴리머 마스크를사용하는 건식 식각 방법
US7192875B1 (en) 2004-10-29 2007-03-20 Lam Research Corporation Processes for treating morphologically-modified silicon electrode surfaces using gas-phase interhalogens
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
ITMI20042206A1 (it) * 2004-11-17 2005-02-17 St Microelectronics Srl Procedimento per la definizione di cirfuiti integrati di dispositivi elettronici a semicondutture
US7288487B1 (en) * 2004-12-01 2007-10-30 Spansion Llc Metal/oxide etch after polish to prevent bridging between adjacent features of a semiconductor structure
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
JP2006165246A (ja) * 2004-12-07 2006-06-22 Tokyo Electron Ltd プラズマエッチング方法
US7468324B2 (en) * 2004-12-08 2008-12-23 The University Court Of The University Of Edinburgh Microelectromechanical devices and their fabrication
JP4071787B2 (ja) * 2004-12-13 2008-04-02 Tdk株式会社 磁気記録媒体の製造方法
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR100615711B1 (ko) * 2005-01-25 2006-08-25 삼성전자주식회사 필름 벌크 어쿠스틱 공진기를 이용한 대역 필터 및 그제조방법.
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP2006222156A (ja) * 2005-02-08 2006-08-24 Toshiba Corp 有機膜加工方法
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
JPWO2006098300A1 (ja) 2005-03-16 2008-08-21 株式会社日立国際電気 基板処理方法及び基板処理装置
US7465670B2 (en) * 2005-03-28 2008-12-16 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2006351862A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
KR100801307B1 (ko) * 2005-06-28 2008-02-05 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP4243268B2 (ja) * 2005-09-07 2009-03-25 アドバンスド・マスク・インスペクション・テクノロジー株式会社 パターン検査装置、及びパターン検査方法
US20070056925A1 (en) * 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US20070056927A1 (en) * 2005-09-14 2007-03-15 Tsou Len Y Process and system for etching doped silicon
US7531461B2 (en) * 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
JP4488999B2 (ja) * 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7655572B2 (en) * 2005-10-24 2010-02-02 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program and computer storage medium
JP2007123399A (ja) * 2005-10-26 2007-05-17 Hitachi High-Technologies Corp ドライエッチング方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US7303999B1 (en) * 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
EP1816674A1 (fr) * 2006-02-01 2007-08-08 Alcatel Lucent Procédé de gravure anisotropique
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
US20070221616A1 (en) * 2006-03-24 2007-09-27 Yi-Tyng Wu Etching method
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
TWI437633B (zh) * 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US7449414B2 (en) * 2006-08-07 2008-11-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7851367B2 (en) * 2006-08-31 2010-12-14 Kabushiki Kaisha Toshiba Method for plasma processing a substrate
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR100815186B1 (ko) * 2006-09-11 2008-03-19 주식회사 하이닉스반도체 돌출형상의 텅스텐플러그를 구비한 반도체소자의 제조 방법
KR100858082B1 (ko) * 2006-10-17 2008-09-10 삼성전자주식회사 니켈 산화물층의 식각 방법
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US20080102643A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Patterning method
US20080102644A1 (en) * 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US7718543B2 (en) * 2006-12-08 2010-05-18 Applied Materials, Inc. Two step etching of a bottom anti-reflective coating layer in dual damascene application
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP4389229B2 (ja) * 2006-12-20 2009-12-24 エルピーダメモリ株式会社 半導体装置の製造方法
US7682986B2 (en) * 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP5568209B2 (ja) * 2007-03-01 2014-08-06 ピーエスフォー ルクスコ エスエイアールエル 半導体デバイスの製造方法および製造装置
US20080214007A1 (en) * 2007-03-02 2008-09-04 Texas Instruments Incorporated Method for removing diamond like carbon residue from a deposition/etch chamber using a plasma clean
TW200843039A (en) * 2007-04-16 2008-11-01 Ind Tech Res Inst Method for forming a memory device and method for etching a phase change layer
DE102007033685A1 (de) * 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8501627B2 (en) * 2007-09-27 2013-08-06 Lam Research Corporation Profile control in dielectric etch
KR101562408B1 (ko) * 2007-09-27 2015-10-21 램 리써치 코포레이션 Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
US8133817B2 (en) * 2007-11-29 2012-03-13 Applied Materials, Inc. Shallow trench isolation etch process
US20090156012A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Method for fabricating low k dielectric dual damascene structures
US20090163033A1 (en) * 2007-12-21 2009-06-25 Guowen Ding Methods for extending chamber component life time
RU2476959C2 (ru) 2008-01-23 2013-02-27 Солвей Флуор Гмбх Способ изготовления солнечных элементов
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR100875180B1 (ko) * 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
EP2144117A1 (en) * 2008-07-11 2010-01-13 The Provost, Fellows and Scholars of the College of the Holy and Undivided Trinity of Queen Elizabeth near Dublin Process and system for fabrication of patterns on a surface
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8153532B1 (en) * 2008-12-04 2012-04-10 Hrl Laboratories, Llc Bilayer dielectric interconnection process
US8986561B2 (en) * 2008-12-26 2015-03-24 Tokyo Electron Limited Substrate processing method and storage medium
US8211805B2 (en) * 2009-02-13 2012-07-03 Vanguard International Semiconductor Corporation Method for forming via
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides
US8696922B2 (en) * 2009-06-22 2014-04-15 Micron Technology, Inc. Methods of plasma etching platinum-comprising materials, methods of processing semiconductor substrates in the fabrication of integrated circuitry, and methods of forming a plurality of memory cells
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
JP5466756B2 (ja) * 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20110236806A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US20110303639A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for processing substrates having metal hard masks
JP5510162B2 (ja) * 2010-07-30 2014-06-04 日立金属株式会社 圧電体薄膜ウェハの製造方法、圧電体薄膜素子、及び圧電体薄膜デバイス
JP5698558B2 (ja) * 2011-02-21 2015-04-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US9023227B2 (en) * 2011-06-30 2015-05-05 Applied Materials, Inc. Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
JP5912637B2 (ja) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8901007B2 (en) * 2013-01-03 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Addition of carboxyl groups plasma during etching for interconnect reliability enhancement
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
CN116169018A (zh) 2019-11-08 2023-05-26 东京毅力科创株式会社 蚀刻方法
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11227774B2 (en) * 2019-12-05 2022-01-18 Tokyo Electron Limited Methods and systems for etching silicon cyanide (SiCN) with multi-color selectivity
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
US20220392785A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Small gas flow monitoring of dry etcher by oes signal

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2904403A (en) 1957-06-07 1959-09-15 Du Pont Preparation of if5
GB1060708A (en) 1964-07-07 1967-03-08 Allied Chem Process for producing iodine pentafluoride
DE2823981A1 (de) 1978-06-01 1979-12-13 Hoechst Ag Verfahren zur herstellung von carbonyl-difluoriden
US4181678A (en) * 1978-09-15 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force Symmetrical perfluoroalkylene oxide α,ω-diacyl fluorides
US4181679A (en) * 1978-10-24 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force ω-Iodoperfluoroalkylene oxide acyl fluorides
US4260649A (en) 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5254176A (en) 1992-02-03 1993-10-19 Tokyo Electron Limited Method of cleaning a process tube
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
US5338394A (en) 1992-05-01 1994-08-16 Alliedsignal Inc. Method for etching indium based III-V compound semiconductors
JP3109253B2 (ja) 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
JP2514545B2 (ja) 1992-09-21 1996-07-10 大阪ダイヤモンド工業株式会社 超砥粒砥石の再生方法
US5534107A (en) 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
ES2104521T3 (es) 1994-07-11 1999-05-01 Allied Signal Inc Proceso para la fabricacion de 1,1,1,3,3-pentafluoropropano.
US5545774A (en) 1994-12-08 1996-08-13 E. I. Du Pont De Nemours And Company Process for the manufacture of 1,1,1,3,3,3-hexafluoropropane
US5594159A (en) 1995-04-13 1997-01-14 E I Du Pont De Nemours And Company Producing CF3 CH2 CF3 and/or CF3 CH═CF2 by the conversion of alpha-hydroperfluoroisobutyric acid compounds
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016529740A (ja) * 2013-09-09 2016-09-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチングガスを用いて半導体構造をエッチングする方法
JP2019033277A (ja) * 2013-09-09 2019-02-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 基板上にエッチング耐性ポリマー層又はs含有パッシベーション層を堆積させる方法
JP2017135375A (ja) * 2016-01-12 2017-08-03 エフ・イ−・アイ・カンパニー 荷電粒子ビーム誘起エッチング
JP2021036606A (ja) * 2016-01-12 2021-03-04 エフ イー アイ カンパニFei Company 荷電粒子ビーム誘起エッチング
JP7048703B2 (ja) 2016-01-12 2022-04-05 エフ イー アイ カンパニ 荷電粒子ビーム誘起エッチング

Also Published As

Publication number Publication date
US6635185B2 (en) 2003-10-21
EP1042800A1 (en) 2000-10-11
WO1999034429A1 (en) 1999-07-08
AU2011099A (en) 1999-07-19
KR100603654B1 (ko) 2006-07-24
US20020096487A1 (en) 2002-07-25
KR20010033793A (ko) 2001-04-25

Similar Documents

Publication Publication Date Title
JP2002500444A (ja) フッ素化されたカルボニル化合物を用いるエッチング及びクリニングの方法
JP7000575B2 (ja) 多積層をエッチングするための化学的性質
EP1320875B1 (en) Gas compositions for cleaning the interiors of reactors as well as for etching films of silicon-containing compounds
TW387018B (en) Plasma etch with trifluoroacetic acid and derivatives
JP4219091B2 (ja) 半導体材料のエッチング方法
EP1788120A1 (en) Removal of titanium nitride with xenon difluoride
JPH07508313A (ja) プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
JPH01161838A (ja) プラズマ・エツチング方法
JP6788177B2 (ja) ドライエッチング方法、ドライエッチング剤及び半導体装置の製造方法
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JPH05102090A (ja) 半導体デバイスのエツチング方法およびエツチング物質
JPH05326460A (ja) ドライエッチング方法
JP2681058B2 (ja) ドライエッチング方法
WO1999034428A1 (en) Method of etching and cleaning using interhalogen compounds
JP3082329B2 (ja) 酸化シリコン上の窒化シリコンのエッチング方法
JPH0794469A (ja) ドライエッチング方法
EP0854502A2 (en) Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
JP2003332304A (ja) ドライエッチング装置のクリーニング方法
JP2000063826A (ja) エッチングガス
JPH11236561A (ja) クリーニングガス
JPH1116885A (ja) ドライエッチング方法
JP2002141330A (ja) 基板の構造化方法
Tao Non-perfluorocompound chemistries for plasma etching of dielectrics
JP2001015488A (ja) ドライエッチング用ガスおよび半導体デバイスの加工方法
JP2002198357A (ja) 半導体製造装置のクリーニングガス及びクリーニング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050707

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080624

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080924

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081017

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090219