WO1999034428A1 - Method of etching and cleaning using interhalogen compounds - Google Patents

Method of etching and cleaning using interhalogen compounds Download PDF

Info

Publication number
WO1999034428A1
WO1999034428A1 PCT/US1998/027427 US9827427W WO9934428A1 WO 1999034428 A1 WO1999034428 A1 WO 1999034428A1 US 9827427 W US9827427 W US 9827427W WO 9934428 A1 WO9934428 A1 WO 9934428A1
Authority
WO
WIPO (PCT)
Prior art keywords
etching
composition
etchant
compound
interhalogen
Prior art date
Application number
PCT/US1998/027427
Other languages
French (fr)
Inventor
Timothy R. Demmin
Matthew H. Luly
Mohammed A. Fathimulla
Original Assignee
Alliedsignal Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alliedsignal Inc. filed Critical Alliedsignal Inc.
Priority to AU20109/99A priority Critical patent/AU2010999A/en
Publication of WO1999034428A1 publication Critical patent/WO1999034428A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method of etching and cleaning comprising subjecting a material under plasma etching conditions to an etching composition comprising at least an interhalogen etchant compound having a formula selected from ClF, ClF3, BrF3, BrF5, IF7 and IF5; and including a composition which includes said etchant compound and a second material different from the etchant compound that enhances or modifies plasma etching.

Description

METHOD OF ETCHING AND CLEANING USING INTERHALOGEN COMPOUNDS
FIELD OF INVENTION The present invention relates generally to dry etching and cleaning. More specifically, this invention relates to the use of a family of interhalogen compounds for the plasma etching of semiconductor materials and for the cleaning of apparatus used in the manufacture of semiconductors.
BACKGROUND OF THE INVENTION Semiconducting devices are manufactured by the repetitive application of four basic operations to a wafer, namely: layering, patterning/etching, doping, and heat treatments. Of particular interest herein is patterning/etching which involves the selective removal of material from the surface of the wafer. More specifically, material is removed by applying a photoresist to a wafer's surface in a particular geometric pattern selectively and then exposing the wafer to a chemical etchant.
Those areas of the wafer that are covered by the photoresist are protected from the etchant, while those that are left exposed are removed to some degree by the etchant. Consequently, material is removed in a geometrical pattern as defined by the photoresist. The term "etching" refers to this selective removal of material.
There are basically two types of etching, wet and dry. Wet etching involves the use of liquid corrosive reagents that react with the unprotected material on the wafer's surface and form soluble products which are then carried away by a solvent. Dry etching refers to plasma and plasma-generated methods which employ energetic gas molecules, ions, and/or free radicals that remove material from a wafer's unprotected surface either chemically by reacting with the material, or physically by bombarding the surface. This plasma process can be used also to clean surfaces of reactors and other apparatus used in the manufacture of semiconductors. Thus, discussion directed to etching and etchants herein applies also to cleaning applications. Other terms commonly applied to these plasma processes include plasma etching, reactive-ion etching, high-density plasma etching, ion milling, reactive ion milling, chemical ion beam etching, and sputter etching. Recently, the industry has been moving away from wet etching and toward dry etching due to the more refined patterning control the latter offers.
As the semiconductor industry moves toward dry etching, environmental concerns grow over the use and disposal of the etching chemicals used and the by- products which are formed. In etching, a portion of the etching chemical tends not to react and exists in the effluent from the reaction, along with various reaction by-products. Venting of such etching chemicals is coming under increased scrutiny.
Traditional etching chemicals, such as, for example, carbon tetrafluoride, hexafluoroethane, perfluoropropane, nitrogen trifluoride, bis(trifluoromethyl) disulfide, and sulfur hexafluoride, and their perfluorinated by-products, such as tetrafluoromethane and hexafluoroethane, have relatively high Global Warming Potentials. Global Warming Potential (herein "GWP") refers to a compound's ability, relative to CO2, to contribute to global warming. GWP is a calculated value based on a compound's estimated atmospheric lifetime and its ability to absorb infrared radiation. GWPs are reported for different time horizons, with a 100-year horizon being the most common. As used herein, a GWP is based on a 100-year horizon unless otherwise stated. Increasingly, governments and international treaties are requiring that the venting of such high-GWP chemicals be reduced or eliminated. As a consequence of these restrictions, the commercial- availability of these chemicals for semiconductor fabrication is suffering.
Currently, attempts to alleviate the environmental concerns associated with plasma etching and cleaning fall into one of four categories: (1) optimizing etching and/or cleaning processes such that lower amounts of GWP chemicals are emitted into the atmosphere; (2) recycling etching and/or cleaning chemicals from an exhaust stream so that they can be disposed of properly or reused without atmospheric emissions; (3) abating etching and/or cleaning chemicals in exhaust streams by chemical reaction or burn boxes which incinerate and render the unreacted etching and/or cleaning chemical effluents inoffensive, particularly with regard to GWP; and (4) selecting or developing various replacement chemicals for etching and/or cleaning duty. Regarding the first approach, advancements in optimizing the etching and cleaning processes to reduce releases are being made continuously; however, the advancements are not capable of reducing emissions to acceptable levels. Regarding the recycling and abating approaches, although emissions can be reduced, the cost of implementation is relatively high, and, again, the reduction levels still tend to be inadequate. Therefore, replacing the traditional etching chemicals with environmentally-acceptable substitutes appears to be a solution that should be considered.
Accordingly, a need exists for etching and cleaning compositions which have acceptable performance, but which do not pose a significant GWP when by- products or unreacted chemicals are vented to the atmosphere. The present invention fulfills this need among others.
DESCRIPTION OF THE INVENTION AND PREFERRED EMBODIMENTS
The present invention identifies a family of interhalogen compounds which are useful in plasma etching and which are environmentally acceptable. Suitable interhalogen compounds include CIF, C1F3, BrF3, BrF5, IF7 and IF5, with IF7 and IF, being more preferred, and with IF, being the most preferred. These compounds, herein referred to as "etchant compounds," regardless of their use as etchants or cleaners, tend to have low GWPs and to form by-products having low- GWP during plasma etching/cleaning such that the reaction effluent of unreacted compounds and reaction by-products is relatively benign to the environment. In addition to being environmentally acceptable, these etchant compounds react surprisingly well with a variety of materials under plasma etching conditions. Examples of such materials include, but are not limited to: dielectrics such as carbides, borides and suicides of metals or semi-metals, for example, tungsten suicide; insulators, such as oxides, nitrides of metals or semi-metals, for example, silicon dioxide, silicon nitride, silicon oxynitride, boronphosphorus silicate glass, and fluorosilicate glass; 1II-V semiconductor compounds such as indium phosphide; elemental materials, such as silicon, polycrystalline silicon, tungsten, titanium, vanadium, germanium, silicon-germanium; and combination of two or more thereof. One aspect of the invention is the provision of a method for etching using the above-identified interhalogen etchant compounds. In one embodiment, the method comprises subjecting a material under plasma etching conditions to an etching composition comprising an interhalogen compound selected from the group consisting of CIF, C1F3, BrF5, IF7 and IF5, more preferably IF5 and IF7, and most preferably IF5.
Another aspect of the invention is the provision of a method for cleaning accumulated films or residue from a surface of an apparatus, such as an etching chamber and other semiconductor processing device, using the above-identified etchant compounds. In a preferred embodiment, the cleaning method comprises subjecting the accumulated film or residue under plasma cleaning conditions to an etching composition comprising an interhalogen compound selected from the group consisting of CIF, C1F3, BrF5, IF7 and IF5,. more preferably IF5 and IF7, and most preferably IF5. Yet another aspect of the invention is the provision of an etching/cleaning composition comprising the above-identified etchant compounds. In a preferred embodiment, the composition comprises an interhalogen etchant compound and another material, different from the etchant compound, to enhance or modify the etching characteristics of the etchant compound. It has been found that these etchant compounds, upon decomposition under plasma etching conditions, are capable of producing reactive species that tend to react chemically with the surface of a wide variety of materials to form volatile compounds.
The interhalogen etchant compounds for use in the present invention is environmentally acceptable since it does not contribute significantly to global warming. More specifically, these interhalogen compounds tend to react and/or decompose to such a high degree that their atmospheric lifetime is brief. This translates to low GWPs since the GWP of a compound depends, in part, on its atmospheric lifetime. On the other hand, prior art etching compositions tend to have relatively long atmospheric lifetimes which translate into high GWPs. Examples of these traditional etchants are compared below to IF5, a preferred etchant compound for use in the present invention:
Etchant GWP
IF5 0
SF6 23900
CF3SCF3 1900
CF4 6500
C2F6 9200
CHF3 11700 It is clear that IF,, having 0 GWP, is far more environmentally acceptable than the traditionally-used etchants.
In a preferred embodiment, the interhalogen etchant compound has a GWP no greater than about 1500, still more preferably no greater than 1000, and even more preferably no greater than 500. In the most preferred embodiment, the etchant compound of the present invention has essentially no GWP.
Pursuant to the present invention, it is possible to formulate from these etchant compounds etching or cleaning compositions which have low GWP. As used herein, the "GWP" of a composition refers to a weighted average of the GWPs of the composition's constituents. For example, a composition comprising 60% by weight of a 1000 GWP compound and 40% by weight of a 500 GWP compound would have a GWP of 800.
In another preferred embodiment, the GWP of the etching composition is no greater than about 1500, more preferably no greater than about 1000, even more preferably no greater than about 500, and yet still more preferably no greater than about 100.
The etchant compounds for use in the present invention not only contribute little to the composition's GWP, but also tend to be consumed with such efficiency that a relatively small amount of unreacted etchant compound exits in the reaction effluent. Additionally, these compounds dissociate and/or react producing a low- GWP effluent. The "GWP" of effluent refers to a weighted average of the GWPs of the effluent's constituents. Preferably, the effluent has a GWP of no greater than about 5000, more preferably no greater than about 3000, still more preferably no greater than about 1500, yet more preferably no greater than about 1000, yet even more preferably no greater than about 500, and still yet more preferably no greater than 100. Aside from having low GWPs, the etching/cleaning composition of the present invention and a substantial portion of the reaction products formed therefrom are also amenable to water scrubbing using conventional scrubbing technology and chemical systems. By comparison, commonly-used etchants CF4, C2F6, and C3Fg are only partially consumed and a substantial amount of the perfluorocarbons are present in the effluent. These perfluorocarbons are neither environmentally acceptable (as indicated by their GWPs above), nor readily removed by scrubbing.
Therefore, due to their low GWP and the ability of their effluent to be more efficiently scrubbed, the etching/cleaning compositions of the present invention can be used with little fear of contributing to global warming and without the need for complex and costly capture/recycle and/or abatement systems. The etchant compounds for use in the present invention are commercially available or can be readily synthesized from commercially available starting materials. For example, U.S. Patent No. 2,904,403, issued to Smith, discloses the preparation of iodine pentafluoride by reacting sulfur tetrafluoride with an organic compound containing iodine bonded solely to oxygen. Additionally, U.S.
Patent No. 2,904,403, issued to Tepp, teaches the preparation of iodine pentafluoride from elemental iodine and fluorine.
The amount of etchant compound(s) in the etching/cleaning composition should be sufficient to impart the desired degree of etching capability. It is believed that, for most applications, the concentration of the etchant compound in the etching composition should be no less than about 0. 1 % by volume. Preferably, the etchant compound in the etching composition should comprise by volume no less than about 1 % , and more preferably no less than about 5 % . The etching/cleaning composition of the present invention may comprise other constituents in addition to etchant compound(s). The additional constituents may be used to aid etching and or, in the case of etchant modifiers, impart "selectivity. " The term "selectivity" refers to the ability of the etching composition to etch one material at a different etch rate than another material.
To impart such selectivity, it may be desirable to add at least one etchant- modifier to the etching composition. Etchant-modifiers and their function are well known in the art {see, for example, Zhang et al., Fluorocarbon High Density Plasmas. VII. Investigation of Selective Si02-to-Si3N4 High Density Plasma Etch Processes, J. VAC SCI. TECHNOL A 14(4) (Jul/Aug 1996)). Consequently, one skilled in the art can determine the types and amounts of etchant-modifiers to use to achieve desired selectivity.
For example, the addition of hydrogen and/or hydrogen-containing compounds, such as hydrocarbons and hydrofluorocarbons, to the etching composition tends to enhance polymerization on the surface of certain materials and not others. Polymerization tends to retard etch rates. In a similar fashion, the addition of nitrogen to the etching composition tends to alter the chemistry of the plasma reaction thereby increasing polymerization on certain surfaces. Other compounds such as oxygen tend to increase the etch rate of oxygen-containing materials such as SiO2.
In a preferred embodiment, the etchant-modifier comprises a compound selected from the group consisting of O2, H2, N2, C,-C, hydrocarbons, C,-C5 HFC compounds different. C,-C4 PFC compounds, and a fluorinated carbonyl compound having a formula selected from the group consisting of
F-CO-[(CR'R2)m-CO]n-F and F-CO-R3-CO-F, and wherein: m = 1 , 2, 3, 4, or 5; n = 0 or 1;
R1 & R2 represent H, F or CλHvFz; wherein: x = 1 or 2; and y + z = 2x + l ; R3 represents CR = CR\ R°R7C =C or C = C; wherein: R4"7 represent H, F, or CxHyFz; wherein: x = l or 2; and y+z=2x+ l ; and wherein at least one of R\ R2, and R4"7 is hydrogen or hydrogen containing.
More preferably, the etchant-modifier is O2, H2, N2, CH4, CF4, and C,-C5 HFC compounds.
Unlike conventional etching compounds where perfluorocarbons are preferred for their high-fluorine content, in the composition of the present invention, HFCs are preferred as etchant-modifiers due to their lower GWP and polymerizing capability. In a more preferred embodiment, the etchant-modifier is an HFC having the formula:
C.Hh wherein: a= 1 , 2, 3, 4 or 5;
2a> b > c; and c+b=2a+2
Examples of suitable HFC etchant modifiers having this formula include isomers of pentafluoropropane, hexafluoropropane, and tetrafluoroethane. In yet a more preferred embodiment, the HFC is either 1 , 1 , 1 ,3,3-pentafluoropropane or. 1 , 1 , 1 ,3,3,3-hexafluoropropane.
In another preferred embodiment, the HFC etchant-modifiers are relatively more fluorinated such as pentafluoroethane.
The amount of etchant-modifier present in the etching/cleaning composition should be sufficient to impart the desired selectivity between materials. It has been found that effective selectivity is obtained wherein the concentration of the etchant-modifier in the etching composition by volume ranges from about 0. 1 to about 99 % , and more preferably, from about 5 to about 60% .
It may be preferable also to include other constituents in the etching composition. For example, it may be beneficial to introduce the etchant compound into the etching apparatus using a entraining carrier gas, such as argon, helium or mixtures thereof, especially to impart volatility to etching composition which have low vapor pressure (alternatively, liquid-feed systems may be employed to deliver low vapor pressure etching compositions). In addition, it may be desirable to add a high ionization energy gas, such as, for example, argon, helium, or mixtures thereof to the process to enhance ion bombardment of the material's surface.
The amount of entraining carrier gas or high energy gas present in the etching/cleaning composition should be sufficient to impart the desired volatility or additional ion concentration. It is believed that, for most applications, suitable results will be obtained with an etching composition comprising by volume from about 0.1 to about 99% and, preferably, from about 5 to about 60% of the carrier or high energy gas. In effecting the etching method of the present invention, conventional apparatus and techniques can be employed. Generally, to etch a substrate, one or more wafers are placed in a chamber and the pressure is reduced by a vacuum pump. A plasma is formed by introducing a suitable etching composition into a low-pressure chamber and then applying an RF field to the contents in the chamber. In this state, the energized species of the etching composition attack the material to be removed either physically by bombarding the surface or chemically by forming a volatile material, such as SiF4, which can be pumped from the vacuum chamber. The process is stopped when the desired amount of material has been removed from the wafer surface. An overview of plasma etching is provided in W. KERN, THIN FILM PROCESS (1978) and in PLASMA ETCHING &
INTRODUCTION (B.M. Manos et al. eds. 1989).
As is well known, there are many operating conditions of a plasma etching process that can have an effect on the results obtained. These conditions include, for example, the type of plasma etching (for example, reactive ion etching, plasma etching, and high-density etching), etching composition flow rate, wafer temperature, pressure, power, time, and bias. The interrelationship of these parameters is a function of the hardware configuration and the material being etched. One skilled in the art of plasma etching and cleaning can vary these parameters accordingly to etch a desired material satisfactorily. Exemplary operating conditions include etching gas flow rates from about 1 to about 500 standard cubic centimeters per minute (seem); wafer temperatures from about -200 to about 200°C; pressures from about 0.05 to about 500 mTorr; power from about 20 to about 5000 watts; and a bias voltage across the wafer or article being etched/cleaned ranging from about 1 to about 500 volts DC. The time of etching depends upon the desired amount of material to be removed and ranges from seconds to hours. In the use of IF5, it has been found that effective etching can be achieved using the reactive ion etch method with an etching gas flow rate of about
1 to about 200 seem, preferably about 5 to about 100 seem; a pressure of about 0.05 to about 50 mTorr, preferably about 0.1 to about 20 mTorr; a wafer temperature of about 0 to about 150°C, preferably about room temperature; power from about 100 to about 1000 watts, preferably about 300 to about 700 watts; and a bias of about 10 to about 200 volts DC, preferably from about 25 to about 175 volts DC.
The following examples are illustrative of the practice of the present invention.
EXAMPLES Examples 1-8
Examples 1-8 show the etching capability of IF, on various materials under different operating conditions. The specific conditions and average etch rates are set forth in Table 1 below.
Tests were performed in a lock load Plasma Therm electron cyclotron resonance (ECR) etcher with an ASTeX® source using wafers layered with different materials. In each experiment, wafers of single crystal silicon, polysilicon (10,000 A thick, LPCVD deposited on a SiO2 coated Si wafer), silicon dioxide (5000 A thick, LPCVD deposited on a Si wafer), and silicon nitride (5000 A thick, LPCVD deposited on Si wafer) were placed in the chamber. A mass flow meter was used to introduce a continuous flow of 2 seem of IF, with 20 seem of Argon. The wafer temperature was about room temperature. In each test, etching was stopped after 5 minutes and the amount of material removed was measured at seven positions on each wafer by ellipsometry and the etch rate was calculated based on the average amount of material removal. Table 1 below shows the results. Table 1. Etch Conditions, Average Etch Rates, and Selected Etch Ratios for IF5
Figure imgf000013_0001
These tests show that materials commonly used in the fabrication of semiconductors are efficiently etched by plasma etching using IF5. Furthermore, the results indicate that etching can be performed successfully under a wide variety of conditions, and that these conditions can be changed to achieve desired results.

Claims

CLAIMSWHAT IS CLAIMED IS
1. A method of etching comprising subjecting a material under plasma etching conditions to an etching composition comprising at least an interhalogen etchant compound having a formula selected from CIF, C1F3, BrF3, BrF5, IF7 and IF5.
2. The method of claim 1, wherein said interhalogen compound is IF5.
3. The method of claim 1 , wherein said interhalogen compound is IF7.
4. A method of etching comprising: introducing an etching composition to an etching tool containing a material to be etched, subjecting said material to said etching composition under plasma etching conditions, said etching composition comprising at least an interhalogen etchant compound having a formula selected from the group consisting of CIF, C1F3, BrF3, BrF5, IF7 and IF5; and allowing an effluent to leave said etching tool, said effluent having a GWP of no greater than about 1000.
5. The method of claim 4, wherein said interhalogen compound is IF,.
6. The method of claim 4, wherein said interhalogen compound is IF7.
7. The method of claim 4, wherein said effluent has a GWP of no greater than about 500.
8. An etching composition comprising:
(A) an interhalogen etchant compound having a formula selected from the group consisting of CIF, C1F3, BrF3, BrF,, IF7 and IF,; and (B) a second material, different from the etchant compound, to enhance or modify the plasma etching characteristics of the etchant compound.
9. The composition of claim 8, wherein said second material is an etchant modifier for increasing the selectivity of the etching composition.
10. The composition of claim 9, wherein said etchant-modifier is selected from the group consisting of O2, H2, N2, CH4, -C5 HFC compounds, C C5 PFC compounds, and a fluorinated carbonyl compound having a formula selected from the group consisting of F-CO-[(CR,R2)m-CO]n-F and F-CO-R3 CO-F, and wherein: m= 1, 2, 3, 4, or 5; n = 0 or 1 ;
R1 & R2 represent H, F or CxHyFz; wherein: x = l or 2; and y+z=2x + l ;
R3 represents CR4=CR\ R6R7C =C or C Γëí C; wherein: R4"7 represent H, F, or CxHyFz; wherein: x = l or 2; and y+z=2x+ 1 ; and wherein at least one of R1, R2, and R4"7 is hydrogen or hydrogen containing.
1 1. The composition of claim 9, wherein said etchant-modifier is selected from the group consisting of O2, H2, N2, CH4, CF4, and CrC5 HFC compounds.
12. The composition of claim 1 1 , wherein said etchant-modifier is has the formula:
CxHyFz (1) wherein: x= 2, 3, 4 or 5;
2x > z > y; and y+z=2x +2
13. The composition of claim 11, wherein said etchant-modifier is selected from the group consisting of the isomers of pentafluoropropane, hexafluoropropane, tetrafluoroethane, and pentafluoroethane.
14. The composition of claim 13, wherein said etchant modifier is either
1 , 1 , 1,3,3-pentafluoropropane or 1 , 1, 1 ,3,3,3-hexafluoropropane.
15. The composition of claim 8, wherein said second material is selected from the group consisting of O2, H2, N2, CH4, CF4, He, Ar, C,-C, hydrofluorocarbons, C,-C, perfluorocarbons.
16. The composition of claim 8, wherein said second material comprises at least one compound having a formula selected from the group consisting of
F-CO-[(CR'R2)m-CO]n-F and FCOR3COF, and wherein: m= 1 , 2, 3, 4, or 5; n = 0 or 1;
R1 & R2 = H, F or CxHyF2; wherein: x = l or 2; and y + z = 2x + l; R3 = CR4=CR\ R6R7C = C or C Γëí C; wherein:
R4-7 = H, F, or CXHVFZ; wherein: x = l or 2; and y+z=2x + l ; and
wherein at least one of R1, R2, and R4 7 is hydrogen or hydrogen containing.
17. The composition of claims 8-16, wherein said interhalogen compound is IF,.
18. The composition of claims 8-16, wherein said interhalogen compound is IF7.
PCT/US1998/027427 1997-12-31 1998-12-23 Method of etching and cleaning using interhalogen compounds WO1999034428A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU20109/99A AU2010999A (en) 1997-12-31 1998-12-23 Method of etching and cleaning using interhalogen compounds

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7020797P 1997-12-31 1997-12-31
US60/070,207 1997-12-31
US12803498A 1998-08-03 1998-08-03
US09/128,034 1998-08-03

Publications (1)

Publication Number Publication Date
WO1999034428A1 true WO1999034428A1 (en) 1999-07-08

Family

ID=26750902

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/027427 WO1999034428A1 (en) 1997-12-31 1998-12-23 Method of etching and cleaning using interhalogen compounds

Country Status (2)

Country Link
AU (1) AU2010999A (en)
WO (1) WO1999034428A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6576481B2 (en) * 2000-12-13 2003-06-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor devices
EP3989682A4 (en) * 2019-06-18 2022-08-03 Showa Denko K.K. Plasma etching method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52131470A (en) * 1976-04-28 1977-11-04 Hitachi Ltd Manufacture of semiconductor device
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPH05343363A (en) * 1992-06-08 1993-12-24 Matsushita Electric Ind Co Ltd Dry etching method
US5362350A (en) * 1992-11-24 1994-11-08 Sony Corporation Method for etching in dry process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52131470A (en) * 1976-04-28 1977-11-04 Hitachi Ltd Manufacture of semiconductor device
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPH05343363A (en) * 1992-06-08 1993-12-24 Matsushita Electric Ind Co Ltd Dry etching method
US5362350A (en) * 1992-11-24 1994-11-08 Sony Corporation Method for etching in dry process

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 002, no. 016 (E - 009) 31 January 1978 (1978-01-31) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 171 (E - 1529) 23 March 1994 (1994-03-23) *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6576481B2 (en) * 2000-12-13 2003-06-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor devices
EP3989682A4 (en) * 2019-06-18 2022-08-03 Showa Denko K.K. Plasma etching method
US20220254607A1 (en) * 2019-06-18 2022-08-11 Showa Denko K.K. Plasma etching method

Also Published As

Publication number Publication date
AU2010999A (en) 1999-07-19

Similar Documents

Publication Publication Date Title
US6635185B2 (en) Method of etching and cleaning using fluorinated carbonyl compounds
US6120697A (en) Method of etching using hydrofluorocarbon compounds
TW387018B (en) Plasma etch with trifluoroacetic acid and derivatives
US5756400A (en) Method and apparatus for cleaning by-products from plasma chamber surfaces
KR0137841B1 (en) Method for removing a etching waste material
US4581101A (en) Dry-etching process
EP1788120A1 (en) Removal of titanium nitride with xenon difluoride
JPH07508313A (en) Plasma cleaning method for removing residue in plasma processing equipment
US5100505A (en) Process for etching semiconductor devices
WO1999008805A1 (en) Plasma cleaning and etching methods using non-global-warming compounds
CA1124622A (en) Etching method employing radiation
JPH01161838A (en) Plasma etching
US5597444A (en) Method for etching semiconductor wafers
EP1143498A2 (en) Post etch photoresist and residue removal process
EP0964438B1 (en) Dry etching method
JP2692707B2 (en) Plasma etching method using trifluoroacetic acid and its derivatives
WO1999034428A1 (en) Method of etching and cleaning using interhalogen compounds
JP3358808B2 (en) How to insulate organic substances from substrates
JP2681058B2 (en) Dry etching method
US6559060B2 (en) Process for the structuring of a substrate
Lehmann et al. Reactive sputter etching of Al in BCl3
Karecki et al. Characterization of iodoheptafluoropropane as a dielectric etchant. III. Effluent analysis
Parks et al. Plasma Etching of Tungsten Polycide Structures Using NF 3‐Mixed Halocarbon Etchants
JPH10189553A (en) Dryetching method
Kuo et al. Reactive Ion Etching of Thin Film Transistor Materials with Chlorofluorocarbon-Free Gases

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase