KR101562408B1 - Arc 레이어 개방을 이용한 라인 폭 거칠기 제어 - Google Patents

Arc 레이어 개방을 이용한 라인 폭 거칠기 제어 Download PDF

Info

Publication number
KR101562408B1
KR101562408B1 KR1020107009128A KR20107009128A KR101562408B1 KR 101562408 B1 KR101562408 B1 KR 101562408B1 KR 1020107009128 A KR1020107009128 A KR 1020107009128A KR 20107009128 A KR20107009128 A KR 20107009128A KR 101562408 B1 KR101562408 B1 KR 101562408B1
Authority
KR
South Korea
Prior art keywords
gas
arc
layer
cos
etching
Prior art date
Application number
KR1020107009128A
Other languages
English (en)
Other versions
KR20100077176A (ko
Inventor
경구 지
조나단 김
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100077176A publication Critical patent/KR20100077176A/ko
Application granted granted Critical
Publication of KR101562408B1 publication Critical patent/KR101562408B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

상술한 바를 달성하고 본 목적의 목적에 따라서, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 에칭하는 방법이 제공된다. ARC 층이 개방되고, 패터닝된 마스크를 통해 에칭 층으로 피쳐가 에칭된다. ARC 층을 개방하는 단계는 (1) 할로겐 함유 가스, COS 및 산소 함유 가스를 포함하는 ARC 개방 가스를 제공하는 단계, (2) ARC 층을 개방하기 위해서 ARC 개방 가스로부터 플라즈마를 형성하는 단계, 및 (3) 플라즈마를 중단시키기 위해서 ARC 개방 가스의 제공을 중단하는 단계를 포함한다. 이 패터닝된 마스크는 라인-공간 패턴을 갖는 포토레지시트 (PR) 마스크일 수도 있다. ARC 개방 가스 내 COS는 에칭 층의 패터닝된 피쳐의 라인 폭 거칠기 (LWR) 를 감소시킨다.

Description

ARC 레이어 개방을 이용한 라인 폭 거칠기 제어{LINE WIDTH ROUGHNESS CONTROL WITH ARC LAYER OPEN}
관련된 출원에 대한 상호 참조
본 출원은, 2007년 9월 27일 출원되고 발명의 명칭이 "LINE WIDTH ROUGHNESS CONTROL WITH ARC LAYER OPEN"이며 발명자가 Chi 등이며, 공동 계류 중인 미국 가출원 60/975,751 호에 대하여 35 U.S.C. §119(e) 하에서 우선권의 혜택을 주장하며, 모든 목적을 위해 본원에 참고문헌으로써 포함된다.
본 발명은 반도체 장치의 제조 동안 마스크를 통해 에칭 층을 에칭하는 것과 관련된다. 보다 상세하게는, 본 발명은 반도체 장치의 제조 동안 마스크를 통한 반사방지 코팅층의 개방을 이용하여 라인 피쳐의 라인 폭 거칠기 (LWR) 를 제어하는 것과 관련된다.
반도체 웨이퍼 처리 동안, 반도체 장치의 피쳐는 잘 알려진 패터닝 및 에칭 프로세스를 이용하여 웨이퍼 내에 정의된다. 이러한 프로세스들 (포토리소그래피) 에서, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착되고 이후 레티클에 의해 필터링된 광에 노출된다. 레티클은 일반적으로, 광이 레티클을 통해 전파되는 것을 차단하는 예시적인 피쳐 지오메트리를 이용하여 패터닝되는 유리판이다.
레티클을 통과한 후, 광은 포토레지스트 재료의 표면에 접촉한다. 광은, 디벨로퍼가 포토레지스트 재료의 일부를 제거할 수 있도록, 포토레지스트 재료의 화학적 조성을 변경시킨다. 포지티브 포토레지스트 재료의 경우, 노출된 영역을 제거하고, 네거티브 포토레지스트 재료의 경우, 노출되지 않은 영역을 제거한다. 이후, 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역에서 하부 재료를 제거하기 위해서 웨이퍼를 에칭하고, 이것에 의해 웨이퍼 내에서 원하는 피쳐를 정의한다.
일반적으로, 포토리소그래피 단계에서, 하나 이상의 반사방지 코팅 (ARC) 층, 예를 들어, 바닥 반사반지 코팅 (BARC) 및/또는 유전체 반사방지 코팅 (DARC) 층이 포토레지스트 마스크 아래에 제공된다. 이러한 층들은, 정상파 (standing wave) 를 생성할 수도 있는 포토레지스트의 노출 동안 반사를 최소화하거나 제거한다. 이러한 정상파는 포토레지스트 측벽의 사인 곡선의 "스캘로핑 (scalloping) "과 같은 결함, 또는 포토레지스트 층의 베이스에서 "피트"의 형성을 초래한다. 따라서, BARC/DARC 층들은 일반적으로, 포토레지스트 층 아래 그리고 이 포토레지스트 마스크를 통해 에칭되는 다른 디바이스 재료 (예를 들어, SiO2) 위에 배치된다. BARC/DARC 층들은 유기계 또는 무기계일 수도 있고, 일반적으로 하부 유전체 재료와는 상이한 재료로 구성된다. 예를 들어, 무기 BARC 층은 질화 티타늄 (TiN) 과 산질화 규소 (SiON) 로 구성될 수도 있다.
극초대규모 집적 회로 (ULSI) 의 임계 치수 (CD) 균일성은 고성능 장치를 위한 중요한 파라미터이다. 예를 들어, 게이트 전극 내 CD 균일성은 임계 전압 분배와 장치의 전체 수율에 영향을 끼친다. ULSI의 설계 규칙이 감소됨에 따라서, 포토리소그래피에 의해 패터닝된 선형 피쳐의 라인의 에지의 거칠기 (LWR:라인 폭 거칠기) 가 더 나빠진다. LWR은, 위에서 아래로 봤을 때 직선 피쳐의 에지가 얼마나 매끄러운지를 측정하는 것이다. 이상적인 피쳐는 도 1a에 도시된 바와 같이 "직선형 룰러"인 에지를 갖는다. 그러나, 다양한 이유로 인해, 때때로 라인 피쳐는 대신에 도 1b에 도시된 바와 같이 들쭉날쭉하게 나타난다. 라인 피쳐를 따라 측정된 CD는 위치 간에 변할 수도 있어, 결과로서 얻어진 디바이스의 렌더링 동작을 신뢰할 수 없기 때문에, 들쭉날쭉한 라인 (즉, 높은 LWR) 은 일반적으로 매우 바람직하지 않다.
193 nm의 파장을 갖는 불화 아르곤 (ArF) 엑시머 레이저 (ArF 리소그래피 테크놀러지) 는 0.04 ㎛ 보다 작은 디바이스의 제조를 위해 사용되었다. 이머젼 193 nm 리소그래피 테크놀러지는 110 nm 노드 미만의 프로세스들을 가능하게 한다. 가장 고도의 집적 회로 내의 이러한 작은 피쳐들은 더 높은 레졸루션 및 CD 균일성을 요구한다. 따라서, 193 nm 리소그래피 테크놀러지에서의 LWR의 문제는 더 악화된다.
상술한 바를 달성하고 본 발명의 목적에 따라서, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 에칭하는 방법이 제공된다. ARC 층이 개방되고, 패터닝된 마스크를 통해 에칭 층으로 피쳐가 에칭된다. ARC 층을 개방하는 단계는 (1) 할로겐 함유 가스, COS 및 산소 함유 가스를 포함하는 ARC 개방 가스를 제공하는 단계, (2) ARC 층을 개방하기 위해서 ARC 개방 가스로부터 플라즈마를 형성하는 단계, 및 (3) 플라즈마를 중지시키기 위해서 ARC 개방 가스의 제공을 중지하는 단계를 포함한다. 이 패터닝된 마스크는 라인-공간 패턴을 갖는 포토레지시트 (PR) 마스크일 수도 있다. ARC 개방 가스 내 COS는 에칭 층의 패터닝된 피쳐의 라인 폭 거칠기 (LWR) 를 감소시킨다.
본 발명의 다른 형태는, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층의 에칭 시 라인 폭 거칠기 (LWR) 를 감소시키기 위한 방법이 제공된다. 패터닝된 마스크는 라인-스페이스 패턴을 가질 수도 있다. ARC 층을 개방하고, 패터닝된 마스크를 통해 에칭 층으로 피쳐들을 에칭한다. ARC 층의 개방 시, 할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스를 제공한다. ARC 층을 개방하기 위하여 ARC 개방 가스로부터 플라즈마를 형성하고, 플라즈마를 중단시키기 위하여 ARC 개방 가스의 제공을 중단한다. ARC 층은 바닥 반사방지 코팅 (BARC) 층, 또는 유전체 반사방지 코팅 (DARC) 층 중 적어도 하나를 포함할 수도 있다.
본 발명의 또 다른 형태에서, 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 에칭하기 위한 장치가 제공된다. 이 장치는 플라즈마 처리 챔버를 포함한다. 플라즈마 처리 챔버는 플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 처리 챔버 인클로저 내부의 기판을 지지하기 위한 기판 지지체, 플라즈마 처리 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 처리 챔버 인클로저로 전력을 제공하는 적어도 하나의 전극, 적어도 하나의 전극에 전기적으로 연결된 적어도 하나의 RF 전원, 플라즈마 처리 챔버 인클로저로 가스를 제공하기 위한 가스 인렛, 및 플라즈마 처리 챔버 인클로저로부터 가스를 배출시키기 위한 가스 아웃렛을 포함한다. 플라즈마 처리 챔버는 가스 소스 및 제어기를 더 포함한다. 가스 소스는 가스 인렛과 유체 연결되며, 할로겐 함유 가스 소스, COS 가스 소스, 및 산소 함유 가스 소스를 포함하는 ARC 개방 가스 소스와, 에칭 가스 소스를 포함한다. 제어기는 가스 소스 및 적어도 하나의 RF 전원에 제어가능하게 연결되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는 ARC 층을 개방하기 위한 컴퓨터 판독가능 코드, 및 개방된 ARC 및 패터닝된 마스크를 통해 에칭 층으로 피쳐들을 에칭하기 위한 컴퓨터 판독가능 코드를 포함한다. ARC 층을 개방하기 위한 컴퓨터 판독가능 코드는, 각각, 할로겐 함유 가스 소스, COS 가스 소스, 및 산소 함유 가스 소스로부터 할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스를 플라즈마 챔버로 흘리기 위한 컴퓨터 판독가능 코드, ARC 개방 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드, 및 ARC 개방 가스의 흐름을 중단시키기 위한 컴퓨터 판독가능 코드를 포함한다. 개방된 ARC 및 패터닝된 마스크를 통해 피쳐들을 에칭 층으로 에칭하기 위한 컴퓨터 판독가능 코드는 에칭 가스 소스로부터 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드, 에칭 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드, 및 에칭 가스를 중단시키기 위한 컴퓨터 판독가능 코드를 포함한다. 컴퓨터 판독가능 매체는 패터닝된 마스크를 제거하기 위한 컴퓨터 판독가능 코드를 더 포함한다.
본 발명의 이러한 특징 및 다른 특징은 다음의 도면과 연결하여 본 발명의 상세한 설명에서 아래에 더욱 상세하게 설명될 것이다.
본 발명은 동일한 도면 부호는 동일한 엘리먼트를 나타내는 첨부된 도면들의 형상으로 제한하는 방식이 아닌 예시적인 방식으로 설명된다.
도 1a 및 도 1b는 라인 폭 거칠기를 예시하는 개략도이다.
도 2a는 본 발명의 실시형태에서 사용된 프로세스의 고레벨 흐름도이다.
도 2b는 본 발명의 일 실시형태에 따른 ARC 층 개방에 대한 상세한 흐름도이다.
도 3은 본 발명의 일 실시형태에 따라 처리된 기판 상에 형성된 층들의 스택의 개략적인 단면도로서, 기판은 패터닝된 마스크 아래의 ARC 층 아래에 배치된 에칭 층을 포함한다.
도 4a 내지 도 4c는 패터닝된 PR 마스크, ARC 층, 및 에칭 층의 스택을 개략적으로 도시하며, 이 에칭 층은 ARC 층 개방 단계 및 에칭 단계 이후 CD 결함을 갖는다.
도 5는 본 발명의 일 실시형태에 따라서, ARC 층을 개방하고, 선택적으로 에칭 층을 에칭하는데 사용될 수도 있는 플라즈마 처리 챔버의 개략도이다.
도 6a 및 도 6b는 본 발명의 실시형태에서 사용된 제어기를 구현하는데 적합한 컴퓨터 시스템을 도시한다.
도 7a는 본 발명의 일 실시형태에 따른 유전체 에칭 단계 이후 층의 스택의 단면도의 예를 개략적으로 도시한다.
도 7b는 도 7a에 대응하여, 남아있는 ACL이 제거된 후 얻어진 스택의 상면도의 예를 개략적으로 도시한다.
도 7c는 본 발명의 일 실시형태에 따른 라인 폭 측정의 예를 개략적으로 도시한다.
도 8a는 ARC 개방 케미스트리가 COS를 포함하지 않는, 에칭 프로세스 이후 스택의 프로파일의 예를 도시한다.
도 8b 내지 도 8d는 본 발명의 일 실시형태에 따라서, 5 sccm, 10 sccm, 및 15 sccm의 COS가 각각 ARC 개방 케미스트리에 첨가되는 프로파일의 예를 도시한다.
도 9a 내지 도 9d는 남아있는 ACL이 제거된 후 얻어지는 도 8a 내지 도 8d의 대응하는 상면도를 도시한다.
도 10은 도 9a 내지 도 9d에 대응하는 피쳐들에 대하여 측정된 LWR의 박스-앤드-위스커 플롯 (box-and-whisker plot) 이다.
이제, 첨부된 도면에서 설명된 바와 같이 몇몇 바람직한 실시형태와 관련하여 본 발명을 상세하게 설명할 것이다. 다음 설명에서, 다수의 세부 사항들은 본 발명의 완전한 이해를 제공하기 위하여 제시된다. 그러나, 본 발명의 이러한 세부 사항들의 일부 또는 전부 없이도 실시될 수도 있다는 것은 당업자에게 자명할 것이다. 다른 예에서, 잘 알려진 프로세스 단계 및/또는 구조는 본 발명을 불필요하게 불명료하게 하지 않도록 상세하게 설명하지 않는다.
이해의 용이함을 위해서, 도 2a는 본 발명의 실시형태에 사용된 프로세스의 고 레벨의 흐름도이다. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 갖는 기판이 제공된다 (단계 102). 본 발명의 이해를 용이하게 위해서, 도 3은, 패터닝된 마스크 (216) 아래의 ARC 층 (210) 아래에 배치된 에칭 층 (204) 을 포함하는 기판 (202) 상에 형성된 층의 스택 (200) 의 개략적인 단면도이다. 패터닝된 마스크 (216) 는 포토레지스트 (PR) 마스크일 수도 있다. 일례로, 이 마스크 (216) 는 에칭 층에 복수의 라인 및 스페이스를 형성하기 위해 라인-스페이스 패턴을 갖는 PR 마스크이다. PR 마스크 (216) 는 약 40 nm의 CD를 갖는 이머전 (immersion) 193 nm 포토리소그래피로 패터닝될 수도 있다.
도 3에 도시된 바와 같이, 에칭 층 (204) 은 유전체 층 (206) 및 비정질 탄소 층 (ACL; 208) 을 포함할 수도 있다. 유전체 층 (206) 은 SiO2, 질화 규소 (SiN), 또는 TEOS (tetora-ethyl-ortho-silicate) 와 같은 산화 규소계 유전체 재료로 만들어질 수도 있다. 비정질 탄소는 폴리머와 유사하지만, CVD에 의해 200 ℃보다 높은 고온에서 증착되기 때문에 수소는 적고 탄소는 더 많으므로, 폴리머보다 내에칭성이 더 높다. ARC 층 (210) 은 PR 마스크 (216) 아래에 형성된 바닥 반사방지 코팅 (BARC) 층 (212) 과, BARC 층 (212) 아래의 유전체 반사방지 코팅 (DARC) 층 (214) 을 포함할 수도 있다. 이러한 층들은 포토레지스트의 노출 동안 반사를 최소화하거나 제거한다. BARC/DARC 층은 유기계 또는 무기계일 수도 있고, 일반적으로 하부 유전체 재료와는 상이한 재료들로 구성된다. 예를 들어, BARC 층 (212) 이 탄소계 유기 층이고, 상부 에칭 층 (이 예에서 ACL (208)) 이 또한 탄소계 재료인 경우, 산질화 규소 (SiON) 와 같은 무기 BARC 층은, 에칭 층이 ARC 층 개방 프로세스 동안 바람직하지 않게 에칭되는 것을 방지할 것이다. 구체적인 예로, 스택 (200) 은 약 100 nm의 두께를 갖는 PR 마스크 (216), 약 20 nm의 두께를 갖는 BARC 층 (212), 약 40 nm의 두께를 갖는 DARC 층 (214), 약 220 nm의 두께를 갖는 ACL 층 (208), 및 약 210 nm의 두께를 갖는 (TEOS 또는 PE-TEOS와 같은) 유전체 층 (206) 을 가질 수도 있다. 이 구조는 반도체 장치 내 게이트 전극들에 대하여 적합할 수도 있다. 이 예에서, 유전체 층 (206) 내 타겟 피쳐는 5:1 이상, 바람직하게는 10:1 이상인 높은 종횡비를 가질 수도 있다.
도 2a를 다시 참고하면, ARC 층 (210) 은 에칭 마스크로서 PR 마스크 (216) 를 이용하여 개방된다 (단계 104). 통상적으로, 에칭 프로세스의 제 1 단계는 임의의 ARC 층 (또는 BARC/DARC 층들) 을 개방하는 것이다. ARC 층은 밑에 있는 층들에 대한 마스크와 같은 역할을 하기 때문에, 이것은 통상적으로 중요한 단계이다. 즉, ARC 층에 존재할 수도 있는 일정한 유형의 결함은 (유전체 층과 같은) 하부 에칭 층 내의 피쳐에서 유사한 결함으로 변환될 수도 있다. 예를 들어, 이러한 결함은 임계 치수 (CD) 의 결함일 수도 있다. 포토레지스트 마스크는 일반적으로 원하는 피쳐 사이즈를 정의한다. 에칭 후, ARC 층이 ("풋 (foot)" 결함의 경우에서와 같이) 포토레지스트 개방보다 더 협소하다면, 하부 에칭 층의 최종 CD는 도 4a 내지 도 4c에 도시된 바와 같이 원하는 것보다 더 협소할 수도 있다. 도 4a는 패터닝된 PR 마스크 (302), ARC 층 (304), 및 에칭 층 (306) 의 스택 (300) 을 개략적으로 도시하며, 패터닝된 마스크 (302) 는 원하는 CD를 갖는다. 도 4b는, CD가 "풋" 효과로 인해 협소해진 ARC 개방 프로세스 이후의 스택 (300) 을 개략적으로 도시한다. 도 4c는, 협소한 CD가 에칭 층 (306) 에서 에칭된 피쳐들의 더 협소한 CD로 변화되는 주요 (유전체) 에칭 프로세스 이후의 스택 (300) 을 개략적으로 도시한다.
라인-스페이스 패턴을 갖는 PR 마스크의 경우, 개방된 ARC 층이 거친 에지를 갖는다면, 즉, ARC 층의 선형 개방이 평평하지 않거나 불균일한 개방 폭을 갖는다면, 이러한 거친 ARC 층은 또한 유전체 층 내에 에칭된 피쳐가 라인 폭 거칠기를 갖게 할 수도 있다. 콘택트 또는 비아 홀과 같은 에칭된 피쳐의 수직 프로파일의 균일성 또는 균등성을 제어하는 것과는 대조적으로, 본 발명은 피쳐를 상부에서부터 봤을때 수평 방향으로, 에칭된 피쳐의 균일성 및 균등성을 제어한다.
도 5는 신규한 에칭에 사용될 수도 있는 플라즈마 처리 챔버 (400) 의 개략도이다. 플라즈마 처리 챔버 (400) 는 한정 링 (402), 상부 전극 (404), 하부 전극 (408), 가스 소스 (410), 및 가스 배출구에 연결된 배출 펌프 (420) 를 포함한다. 플라즈마 처리 챔버 (400) 내에서, (층들의 스택을 갖는) 기판 (202) 이 하부 전극 (408) 상에 위치된다. 하부 전극 (408) 은 기판 (202) 을 홀딩하기 위한 적절한 기판 척킹 메커니즘 (예를 들어, 정전식, 기계식 클램핑 등) 을 포함한다. 리액터 탑 (428) 은 하부 전극 (408) 의 바로 맞은편에 배치된 상부 전극 (404) 을 포함한다. 상부 전극 (404), 하부 전극 (408), 및 한정 링 (402) 은 한정된 플라즈마 볼륨을 정의한다. 가스가 가스 소스 (410) 에 의해 한정된 플라즈마 볼륨으로 공급되고 배출 펌프 (420) 에 의해 배출 포트 및 한정 링 (402) 을 통해 한정된 플라즈마 볼륨으로부터 배출된다. 배출 펌프 (420) 는, 가스 배출을 돕는것 이외에도 압력을 조절하는 것을 돕는다. 이 실시형태에서, 가스 소스 (410) 는, COS 소스 (412), 산소 함유 가스 소스 (416), 및 할로겐 함유 가스 소스 (418) 를 포함하는 ARC 개방 가스 소스 (430) 를 포함한다. 가스 소스 (410) 는, 처리 챔버 (400) 내에서 수행되는 에칭 층을 위한 후속하는 에칭 프로세스를 위한 에칭 가스 소스 (414) 와 같은 다른 가스 소스를 더 포함할 수도 있다.
도 5에 도시된 바와 같이, RF 소스 (448) 는 하부 전극 (408) 에 전기적으로 연결된다. 챔버 벽 (452) 은 한정 링 (402), 상부 전극 (404), 및 하부 전극 (408) 을 둘러싼다. RF 소스 (448) 는 2 MHz 전원, 60 MHz 전원, 및 27 MHz 전원을 포함할 수도 있다. RF 전력을 전극에 연결하는 상이한 조합이 가능하다. 본 발명의 바람직한 실시형태에서 사용될 수도 있는 캘리포니아주 프리몬트의 LAM Research CorporationTM에 의해 제조된 Exelan
Figure 112010026885936-pct00001
Series와 같은 LAM Research Corporation의 Dielectric Etch Systems의 경우, 27 MHz, 2 MHz, 및 60 MHz 전원이 하부 전극에 연결된 RF 전원 (448) 을 형성하고, 상부 전극은 접지된다. 제어기 (435) 는 RF 소스 (448), 배출 펌프 (420), 및 가스 소스 (410) 에 제어 가능하게 연결된다.
도 6a 및 도 6b는 본 발명의 실시형태에서 사용된 제어기 (435) 를 구현하는데 적합한 컴퓨터 시스템 (800) 을 도시한다. 도 6a는 컴퓨터 시스템의 일 가능한 물리적 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 핸드헬드 디바이스부터 대형 슈퍼 컴퓨터까지의 범위의 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (800) 은 모니터 (802), 디스플레이 (804), 하우징 (806), 디스크 드라이브 (808), 키보드 (810), 및 마우스 (812) 를 포함한다. 디스크 (814) 는 컴퓨터 시스템 (800) 으로 그리고 컴퓨터 시스템 (800) 으로부터 데이터를 전송하는데 사용되는 컴퓨터 판독가능한 매체이다.
도 6b는 컴퓨터 시스템 (800) 에 대한 블록도의 예시이다. 시스템 버스 (820) 는 광범위하게 다양한 서브시스템에 부착된다. 프로세서(들)(822)(중앙 처리 유닛 또는 CPU로도 지칭함) 는 메모리 (824) 를 포함한 저장 디바이스에 커플링된다. 메모리 (824) 는 RAM (Random Access Memory) 및 ROM (Read-Only Memory) 을 포함한다. 본 기술에서 잘 알려진 바와 같이, ROM은 데이터 및 명령들을 일 방향으로 CPU에 전송하는 역할을 하고 RAM은 통상적으로 데이터 및 명령을 양방향 방식으로 전송하는데 사용된다. 이러한 유형의 메모리들 둘 모두는 아래에 설명된 임의의 적절한 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (826) 는 또한 CPU (822) 에 양방향으로 커플링되며; 이것은 추가적인 데이터 저장 용량을 제공하고 아래에 설명된 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (826) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있고, 통상적으로 1차 저장 매체 보다 더 느린 (하드 디스크와 같은) 2차 저장 매체이다. 적절한 경우, 고정 디스크 (826) 내에 보유된 정보는 메모리 (824) 내의 가상 메모리와 같은 표준 방식으로 포함된다는 것을 인식할 것이다. 착탈식 디스크 (814) 는 아래에 기재된 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
CPU (822) 는 또한, 디스플레이 (804), 키보드 (810), 마우스 (812), 및 스피커 (830) 와 같은 다양한 입력/출력 디바이스에 커플링된다. 일반적으로, 입력/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 접촉 감지 디스플레이, 트랜스듀서 카드 리더, 마그네틱 또는 페이퍼 테이프 리더, 테이블릿, 스타일러스, 음성 또는 필적 인식기, 바이오메트릭 리더, 또는 다른 컴퓨터들 중 어느 것일 수도 있다. CPU (822) 는 네트워크 인터페이스 (840) 를 이용하여 다른 컴퓨터 또는 텔레커뮤니케이션 네트워크에 선택적으로 커플링될 수도 있다. 이러한 네트워크 인터페이스를 이용하여, CPU는 상술된 방법의 단계를 수행하는 동안 네트워크로부터 정보를 수신하거나 정보를 네트워크에 출력할 수도 있다는 것을 고려한다. 또한, 본 발명의 방법 실시형태는 CPU (822) 상에서 단독으로 수행될 수도 있고 또는 처리의 일부를 공유하는 원격 CPU와 연결하여 인터넷과 같은 네트워크를 통해 실시될 수도 있다.
이외에도, 본 발명의 실시형태는 다양한 컴퓨터 구현 동작을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 이용하는 컴퓨터 저장 제품과 더 관련된다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있고, 또는 이들은 컴퓨터 소프트웨어 기술의 당업자에게 잘 알려지고 이용가능한 종류일 수도 있다. 컴퓨터 판독가능 매체의 예는 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크와 같은 광자기 매체; 및 주문형 집적 회로 (ASIC), 프로그램가능 논리 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같은 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스를 포함하지만 이것으로 한정되는 것은 아니다. 컴퓨터 코드의 예는, 인터프리터를 이용한 컴퓨터에 의해 실행되는 고차 레벨 코드를 포함한 파일들 및 컴파일러에 의해 생성된 것과 같은 머신 코드를 포함한다. 컴퓨터 판독가능 매체는 또한, 반송파에 임베딩된 컴퓨터 데이터 신호에 의해 전송되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
이후, 도 2b는 상술된 플라즈마 처리 챔버 (400) 를 이용하여 수행될 수도 있는 ARC 층 개방 (단계 104) 을 위한 상세한 흐름도이다. 스택 (200) 을 갖는 기판 (202) 이 플라즈마 처리 챔버 (400) 내에 제공된다. ARC 층을 개방하기 전에, 마스크 (216) 의 패턴이 또한 동일한 처리 챔버 (400) 에서 수행될 수도 있다. 도 2b에 도시된 바와 같이, ARC 층 개방 프로세스 (단계 104) 에서, 할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스가 제공된다 (단계 122). 본 발명의 일 실시형태에서, 할로겐 함유 가스는 CF4 또는 CHF3 중 적어도 하나를 포함할 수도 있다. 보다 일반적으로, 할로겐 함유 가스는 하이드로카본, 플루오로카본, 및/또는 하이드로플루오르카본 성분, 예를 들어, CH2F2, CH3F 등을 포함할 수도 있다. 구체적인 예로, 플라즈마 챔버 내 압력은 70 mTorr로 설정되고, 175 sccm CF4, 26 sccm CHF3, 5 ~ 15 sccm COS, 및 9 sccm O2를 포함한 ARC 개방 가스가 제공된다. 보다 일반적으로, COS는 에천트 가스의 총 흐름의 약 1 내지 70 %를 갖는 것이 바람직하다. 바람직하게는, COS는 에천트 가스의 총 흐름의 약 2 ~ 50 %를 갖는다. 보다 바람직하게는, COS는 에천트 가스의 총 흐름의 약 3 ~ 10 %를 갖는다.
이후, ARC 개방 가스로부터 플라즈마를 형성하여 (단계 124), ARC 층을 개방한다 (단계 126). 이 예에서, RF 소스는 44 ~ 49 초 동안 60 MHz에서 400 Watts를 제공한다. ARC 층의 개방 단계 이후, ARC 개방 가스 흐름을 중단시켜 플라즈마를 중단시킨다 (단계 128).
도 2a에 도시된 바와 같이, 본 발명의 실시형태에 따르면, ARC 층을 개방하기 위해 사용된 동일한 플라즈마 처리 챔버 (400) 를 이용하여 피쳐가 에칭 층 (202) 으로 에칭된다 (단계 106). 예를 들어, ACL (208) 은 개방된 ARC 층 (210) 을 마스크로서 이용하여 에칭될 수도 있다. 예를 들어, 이러한 ACL 에칭은 15 mTorr의 챔버 압력 하에서 70 sccm N2 및 200 sccm O2를 포함한 케미스트리를 사용할 수도 있다. RF 전원은 68 초 동안 60 MHz에서 700 Watt를 제공한다. 이후, 유전체 층 (206) 은 패터닝된 ACL (208) 을 에칭 마스크로서 이용하여 에칭되어 피쳐를 형성할 수도 있다. 예를 들어, 유전체 에칭은 70 mTorr의 챔버 압력 하에서 1200 sccm Ar, 135 sccm CF4, 및 6 sccm O2를 포함하는 케미스트리를 이용할 수도 있다. RF 전원은 132 초 동안 2 MHz에서 250 Watts 그리고 60 MHz에서 250 Watts를 제공한다. 이 예에서, 패터닝된 ACL (208) 은 유전체 에칭을 위한 하드마스크로서 고려된다. 이와 같이, 임의의 남아있는 마스크가 에싱 프로세스 등에 의해 제거될 수도 있다 (단계 108).
도 7a는 유전체 에칭 (단계 106) 이후의 층들의 스택 (700) 의 단면도의 예를 개략적으로 도시한다. 도 7a에 도시된 바와 같이, 라인-스페이스 패턴을 갖는 피쳐가 유전체 층 (206) 으로 에칭된다. ACL (208) 의 일부가 에칭된 유전체 층 (206) 의 상부에 남아있다. 도 7b는, 남아있는 ACL의 제거 (단계 108) 이후 스택 (700) 의 대응하는 상면도의 예를 개략적으로 도시한다. 도 8a 내지 도 8d는 ARC를 이용하여 에칭된 스택의 SEM (Scanning Electron Microscopy) 이미지의 예이고, ARC 개방 케미스트리는 다양한 양 (유량) 의 COS를 포함한다. 도 8a는 ARC 개방 케미스트리에 COS가 첨가되지 않는 프로파일을 참조로서 도시한다. 도 8b 내지 도 8d는 5 sccm, 10 sccm, 및 15 sccm COS가 각각 ARC 개방 케미스트리에 첨가되는 프로파일들을 도시한다. 도 9a 내지 도 9d는, 남아있는 ACL이 제거 (단계 108) 된 후 얻어진 도 8a 내지 도 8d에 대응하는 SEM 이미지의 상면도이다. 에칭된 피쳐의 수직 프로파일에 대하여, 특정 ARC 개방 케미스트리의 사용에 의존한 중요한 변화는 없다. 그러나, ACL (208) 이 제거된 후, 에칭된 라인 피쳐를 따르는 라인의 라인 폭 (LW; 유전체 층 (206) 의 폭) 을 측정할 경우, ACR 개방 케미스트리가 COS를 포함할 때 LWR이 개선된다 (즉, 감소된다). 도 7c에 도시된 바와 같이, 라인 폭은 라인을 따라 일정한 수의 포인트들에서 측정될 수도 있고, LWR을 평가하기 위해서 사분의 일 값, 중간값, 사분의 삼값과 같은 통계적 파라미터가 얻어질 수도 있다.
도 10은 도 9a 내지 도 9d에 대응하는 피쳐에 대하여, 측정된 LWR의 박스-앤드-위스커 플롯 (박스 플롯으로도 지칭함) 이다. 여기서, 각각의 박스를 분할하는 라인은 중간값이고, 박스는 IQR (Inter Quartile Range)(사분의 삼 - 사분의 일) 을 나타낸다. "위스커스"는 최소 및 최대 값들을 도시한다. 도 10에 도시된 바와 같이, ARC 개방 케미스트리의 COS를 첨가함으로써, LWR이 감소된다.
본 발명의 다른 실시형태에서, 에칭 층은 도핑되지 않거나 도핑된 이산화 규소계 재료 (예를 들어, TEOS, BPSG, FSG 등), 오가노-실리케이트 글라스 (OSG), 다공성 OSG, 질화 규소계 재료, 산질화 규소계 재료, 탄화 규소계 재료, 저유전율 유전체 또는 임의의 금속 게이트 재료일 수도 있다. 본 발명의 다른 실시형태에서, 에칭 층은 도핑되지 않거나 도핑된 이산화 규소계 재료 (예를 들어, TEOS, PE-TEOS, BPSG, FSG 등), 질화 규소계 재료 (SixNy), 탄화 규소계 재료, 또는 SiLK 또는 오가노 실리케이트 글라스 (OSG), 또는 금속 게이트 재료와 같은, 산화 규소계 또는 유기계 중 어느 하나일 수도 있는 저유전율 유전체일 수도 있다.
본 발명이 여러 바람직한 실시형태에 관하여 설명하였지만, 변형, 치환, 수정 및 대체적인 등가물이 본 발명의 범위 내에 있다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방법이 존재한다는 것을 주목한다. 따라서, 다음 첨부된 청구범위는 본 발명의 참 정신 및 범위 내에 있는 모든 이러한 변형, 치환, 수정 및 대체적인 등가물들을 포함하는 것으로 해석될 것을 의도한다.

Claims (30)

  1. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 에칭하는 방법으로서,
    상기 ARC 층을 개방하는 단계; 및
    상기 패터닝된 마스크를 통해 상기 에칭 층으로 피쳐들을 에칭하는 단계를 포함하고,
    상기 ARC 층을 개방하는 단계는,
    할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스를 제공하는 단계;
    상기 ARC 층을 개방하기 위하여 상기 ARC 개방 가스로부터 플라즈마를 형성하는 단계; 및
    상기 플라즈마를 중단시키기 위하여 상기 ARC 개방 가스의 제공을 중단하는 단계를 포함하는, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 패터닝된 마스크는 라인-스페이스 패턴을 갖는 포토레지스트 (PR) 마스크이고, 상기 ARC 개방 가스 내의 COS는 상기 에칭 층의 패터닝된 피쳐들의 라인 폭 거칠기 (LWR) 를 감소시키는, 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 ARC 층은,
    바닥 반사방지 코팅 (BARC) 층; 또는
    유전체 반사방지 코팅 (DARC) 층 중 적어도 하나를 포함하는, 에칭 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 할로겐 함유 가스는,
    CF4; 또는
    CHF3 중 적어도 하나를 포함하는, 에칭 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    COS는 상기 ARC 개방 가스와 상이하며 상기 패터닝된 마스크를 통해 상기 에칭 층 내로 상기 피쳐들을 에칭하기 위한 에천트 가스의 총 흐름의 1 내지 70 %를 갖는, 에칭 방법.
  6. 제 5 항에 있어서,
    COS는 상기 에천트 가스의 총 흐름의 2 내지 50 %를 갖는, 에칭 방법.
  7. 제 6 항에 있어서,
    COS는 상기 에천트 가스의 총 흐름의 3 내지 10 %를 갖는, 에칭 방법.
  8. 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층의 에칭에 있어서 라인 폭 거칠기 (LWR) 를 감소시키기 위한 방법으로서,
    상기 ARC 층을 개방하는 단계; 및
    상기 패터닝된 마스크를 통해 상기 에칭 층으로 피쳐들을 에칭하는 단계를 포함하고,
    상기 ARC 층을 개방하는 단계는,
    할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스를 제공하는 단계;
    상기 ARC 층을 개방하기 위하여 상기 ARC 개방 가스로부터 플라즈마를 형성하는 단계; 및
    상기 플라즈마를 중단시키기 위하여 상기 ARC 개방 가스의 제공을 중단하는 단계를 포함하는, 라인 폭 거칠기를 감소시키기 위한 방법.
  9. 제 8 항에 있어서,
    상기 ARC 층은,
    바닥 반사방지 코팅 (BARC) 층; 또는
    유전체 반사방지 코팅 (DARC) 층 중 적어도 하나를 포함하는, 라인 폭 거칠기를 감소시키기 위한 방법.
  10. 제 8 항 또는 제 9 항에 있어서,
    상기 할로겐 함유 가스는,
    CF4; 또는
    CHF3 중 적어도 하나를 포함하는, 라인 폭 거칠기를 감소시키기 위한 방법.
  11. 제 8 항 또는 제 9 항에 있어서,
    COS는 상기 ARC 개방 가스와 상이하며 상기 패터닝된 마스크를 통해 상기 에칭 층 내로 상기 피쳐들을 에칭하기 위한 에천트 가스의 총 흐름의 1 내지 70 %를 갖는, 라인 폭 거칠기를 감소시키기 위한 방법.
  12. 제 11 항에 있어서,
    COS는 상기 에천트 가스의 총 흐름의 2 내지 50 %를 갖는, 라인 폭 거칠기를 감소시키기 위한 방법.
  13. 제 12 항에 있어서,
    COS는 상기 에천트 가스의 총 흐름의 3 내지 10 %를 갖는, 라인 폭 거칠기를 감소시키기 위한 방법.
  14. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 에칭하기 위한 장치로서,
    플라즈마 처리 챔버;
    가스 인렛과 유체 연결되는 가스 소스; 및
    상기 가스 소스 및 적어도 하나의 RF 전원에 제어가능하게 연결된 제어기를 포함하고,
    상기 플라즈마 처리 챔버는,
    플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽;
    상기 플라즈마 처리 챔버 인클로저 내부의 기판을 지지하기 위한 기판 지지체;
    상기 플라즈마 처리 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기;
    플라즈마를 유지시키기 위해 상기 플라즈마 처리 챔버 인클로저로 전력을 제공하는 적어도 하나의 전극;
    상기 적어도 하나의 전극에 전기적으로 연결된 상기 적어도 하나의 RF 전원;
    상기 플라즈마 처리 챔버 인클로저로 가스를 제공하기 위한 상기 가스 인렛; 및
    상기 플라즈마 처리 챔버 인클로저로부터 가스를 배출시키기 위한 가스 아웃렛을 포함하며,
    상기 가스 소스는,
    할로겐 함유 가스 소스, COS 가스 소스, 및 산소 함유 가스 소스를 포함하는 ARC 개방 가스 소스; 및
    에칭 가스 소스를 포함하며,
    상기 제어기는,
    적어도 하나의 프로세서; 및
    컴퓨터 판독가능 매체를 포함하고,
    상기 컴퓨터 판독가능 매체는,
    상기 할로겐 함유 가스 소스, 상기 COS 가스 소스, 및 상기 산소 함유 가스 소스로부터, 각각 할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스를 상기 플라즈마 처리 챔버로 흘리기 위한 컴퓨터 판독가능 코드; 상기 ARC 개방 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드; 및 상기 ARC 개방 가스의 상기 흐름을 중단시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 ARC 층을 개방하기 위한 컴퓨터 판독가능 코드; 및
    상기 에칭 가스 소스로부터 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드; 상기 에칭 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드; 및 상기 에칭 가스를 중단시키기 위한 컴퓨터 판독가능 코드를 포함하는, 개방된 ARC 및 상기 패터닝된 마스크를 통해 피쳐들을 상기 에칭 층으로 에칭하기 위한 컴퓨터 판독가능 코드; 및
    상기 패터닝된 마스크를 제거하기 위한 컴퓨터 판독가능 코드를 포함하는, 에칭 장치.
  15. 패터닝된 마스크 아래의 반사방지 코팅 (ARC) 층 아래에 배치된 에칭 층을 에칭하는 장치로서,
    상기 ARC 층을 개방하는 수단; 및
    상기 패터닝된 마스크를 통해 상기 에칭 층으로 피쳐들을 에칭하는 수단을 포함하고,
    상기 ARC 층을 개방하는 수단은,
    할로겐 함유 가스, COS, 및 산소 함유 가스를 포함하는 ARC 개방 가스를 제공하는 수단;
    상기 ARC 층을 개방하기 위하여 상기 ARC 개방 가스로부터 플라즈마를 형성하는 수단; 및
    상기 플라즈마를 중단시키기 위하여 상기 ARC 개방 가스의 제공을 중단하는 수단을 포함하는, 에칭 장치.
  16. 제 15 항에 있어서,
    상기 ARC 층은,
    바닥 반사방지 코팅 (BARC) 층; 또는
    유전체 반사방지 코팅 (DARC) 층 중 적어도 하나를 포함하는, 에칭 장치.
  17. 제 15 항 또는 제 16 항에 있어서,
    상기 할로겐 함유 가스는,
    CF4; 또는
    CHF3 중 적어도 하나를 포함하는, 에칭 장치.
  18. 제 15 항 또는 제 16 항에 있어서,
    COS는 상기 ARC 개방 가스와 상이하며 상기 패터닝된 마스크를 통해 상기 에칭 층 내로 상기 피쳐들을 에칭하기 위한 에천트 가스의 총 흐름의 1 내지 70 %를 갖는, 에칭 장치.
  19. 제 18 항에 있어서,
    COS는 상기 에천트 가스의 총 흐름의 2 내지 50 %를 갖는, 에칭 장치.
  20. 제 19 항에 있어서,
    COS는 상기 에천트 가스의 총 흐름의 3 내지 10 %를 갖는, 에칭 장치.
  21. 제 3 항에 있어서,
    상기 할로겐 함유 가스는,
    CF4; 또는
    CHF3 중 적어도 하나를 포함하는, 에칭 방법.
  22. 제 3 항에 있어서,
    COS는 상기 ARC 개방 가스와 상이하며 상기 패터닝된 마스크를 통해 상기 에칭 층 내로 상기 피쳐들을 에칭하기 위한 에천트 가스의 총 흐름의 1 내지 70 %를 갖는, 에칭 방법.
  23. 제 22 항에 있어서,
    COS는 에천트 가스의 총 흐름의 2 내지 50 %를 갖는, 에칭 방법.
  24. 제 23 항에 있어서,
    COS는 에천트 가스의 총 흐름의 3 내지 10 %를 갖는, 에칭 방법.
  25. 제 10 항에 있어서,
    COS는 상기 ARC 개방 가스와 상이하며 상기 패터닝된 마스크를 통해 상기 에칭 층 내로 상기 피쳐들을 에칭하기 위한 에천트 가스의 총 흐름의 1 내지 70 %를 갖는, 라인 폭 거칠기를 감소시키기 위한 방법.
  26. 제 25 항에 있어서,
    COS는 에천트 가스의 총 흐름의 2 내지 50 %를 갖는, 라인 폭 거칠기를 감소시키기 위한 방법.
  27. 제 26 항에 있어서,
    COS는 에천트 가스의 총 흐름의 3 내지 10 %를 갖는, 라인 폭 거칠기를 감소시키기 위한 방법.
  28. 제 17 항에 있어서,
    COS는 상기 ARC 개방 가스와 상이하며 상기 패터닝된 마스크를 통해 상기 에칭 층 내로 상기 피쳐들을 에칭하기 위한 에천트 가스의 총 흐름의 1 내지 70 %를 갖는, 에칭 장치.
  29. 제 28 항에 있어서,
    COS는 에천트 가스의 총 흐름의 2 내지 50 %를 갖는, 에칭 장치.
  30. 제 29 항에 있어서,
    COS는 에천트 가스의 총 흐름의 3 내지 10 %를 갖는, 에칭 장치.
KR1020107009128A 2007-09-27 2008-09-15 Arc 레이어 개방을 이용한 라인 폭 거칠기 제어 KR101562408B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US97575107P 2007-09-27 2007-09-27
US60/975,751 2007-09-27

Publications (2)

Publication Number Publication Date
KR20100077176A KR20100077176A (ko) 2010-07-07
KR101562408B1 true KR101562408B1 (ko) 2015-10-21

Family

ID=40508869

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107009128A KR101562408B1 (ko) 2007-09-27 2008-09-15 Arc 레이어 개방을 이용한 라인 폭 거칠기 제어

Country Status (5)

Country Link
US (1) US8158524B2 (ko)
KR (1) KR101562408B1 (ko)
CN (1) CN101809723B (ko)
TW (1) TWI458009B (ko)
WO (1) WO2009042438A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101528947B1 (ko) * 2007-09-27 2015-06-15 램 리써치 코포레이션 유전체 에칭에서의 프로파일 제어
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP5606060B2 (ja) * 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
US8449293B2 (en) 2010-04-30 2013-05-28 Tokyo Electron Limited Substrate treatment to reduce pattern roughness
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
CN104370268B (zh) * 2013-08-16 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
US9018103B2 (en) 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
KR102372892B1 (ko) * 2017-08-10 2022-03-10 삼성전자주식회사 집적회로 소자의 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4208241A (en) 1978-07-31 1980-06-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
DE68915676T2 (de) 1988-10-07 1994-12-22 Matsushita Electric Ind Co Ltd Verfahren zur Herstellung von Linsen.
JP3220992B2 (ja) 1991-01-22 2001-10-22 ソニー株式会社 ドライエッチング方法
FR2673763A1 (fr) 1991-03-06 1992-09-11 Centre Nat Rech Scient Procede de gravure anisotrope des polymeres par plasma.
JPH04354331A (ja) 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
JP3116569B2 (ja) 1992-06-29 2000-12-11 ソニー株式会社 ドライエッチング方法
WO1997024750A1 (en) 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
US5804088A (en) * 1996-07-12 1998-09-08 Texas Instruments Incorporated Intermediate layer lithography
WO1998032162A1 (fr) * 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP2000277494A (ja) * 1999-03-26 2000-10-06 Sony Corp 有機系反射防止膜のエッチング方法および半導体装置の製造方法
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
TW463307B (en) 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP3883470B2 (ja) 2002-05-14 2007-02-21 沖電気工業株式会社 半導体装置の製造方法
JP3913145B2 (ja) * 2002-08-28 2007-05-09 松下電器産業株式会社 パターン形成方法
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control

Also Published As

Publication number Publication date
CN101809723A (zh) 2010-08-18
WO2009042438A1 (en) 2009-04-02
KR20100077176A (ko) 2010-07-07
CN101809723B (zh) 2012-04-04
US20090087996A1 (en) 2009-04-02
TW200943408A (en) 2009-10-16
US8158524B2 (en) 2012-04-17
TWI458009B (zh) 2014-10-21

Similar Documents

Publication Publication Date Title
KR101562408B1 (ko) Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US9466502B2 (en) Line width roughness improvement with noble gas plasma
US7491647B2 (en) Etch with striation control
KR101318976B1 (ko) 자기 정렬된 피치 감소
KR101611938B1 (ko) 에칭 피쳐의 cd를 제어하는 방법
KR101392570B1 (ko) 삼중층 레지스트 유기층 에칭
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
US20050277289A1 (en) Line edge roughness reduction for trench etch
US8470715B2 (en) CD bias loading control with ARC layer open
KR101252878B1 (ko) 포토레지스트 마스크를 이용한 에칭
KR101155843B1 (ko) 균일성 제어에 의한 에칭

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 4