JP5912637B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5912637B2
JP5912637B2 JP2012033372A JP2012033372A JP5912637B2 JP 5912637 B2 JP5912637 B2 JP 5912637B2 JP 2012033372 A JP2012033372 A JP 2012033372A JP 2012033372 A JP2012033372 A JP 2012033372A JP 5912637 B2 JP5912637 B2 JP 5912637B2
Authority
JP
Japan
Prior art keywords
etching
film
plasma
torr
frequency power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012033372A
Other languages
English (en)
Other versions
JP2013171890A (ja
Inventor
誠也 川又
誠也 川又
昌伸 本田
昌伸 本田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012033372A priority Critical patent/JP5912637B2/ja
Priority to US14/376,644 priority patent/US9202707B2/en
Priority to CN201380007751.XA priority patent/CN104081502B/zh
Priority to PCT/JP2013/052633 priority patent/WO2013121936A1/ja
Priority to KR1020147021411A priority patent/KR102038608B1/ko
Priority to TW102105074A priority patent/TW201351499A/zh
Publication of JP2013171890A publication Critical patent/JP2013171890A/ja
Application granted granted Critical
Publication of JP5912637B2 publication Critical patent/JP5912637B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

本発明は、半導体装置の製造方法に関する。
3D NANDフラッシュメモリ等の三次元積層半導体メモリの製造には、プラズマを用いて積層膜を階段形状にエッチングする工程がある(例えば、特許文献1を参照)。この工程で行われるマスクトリミングは、積層された多層膜の積層構造を利用して階段形状を形成するために、マスク材料の鉛直方向のエッチングに対して水平方向のエッチングの比率を高めることが重要となる。
これに対して、従来は、マスク材料に対して鉛直方向に寄与するイオンエネルギーを低くすることにより鉛直方向へのエッチングを抑止し、ラジカルによる等方的なエッチングを促進させる方法を採用していた。
特開2009−266944号公報
しかしながら、この方法によれば、イオンエネルギーが低いため、マスク材料のエッチングレートが低くなってしまう。このため、マスク材料の水平方向のエッチングレートを高め、スループットを向上させたいという要望があった。
上記課題に対して、本発明の目的とするところは、マスク材料の水平方向のエッチングレートを高め、スループットを向上させることが可能な、半導体装置の製造方法を提供することにある。
上記課題を解決するために、本発明のある観点によれば、上部電極と下部電極とを有する平行平板型プラズマ処理装置において、処理ガスを導入し前記下部電極に高周波電力を印加することによりプラズマを生成し、基板上に比誘電率の異なる第1の膜及び第2の膜が交互に積層された多層膜と、該多層膜の上層に位置しマスクとして機能するフォトレジスト層とを前記プラズマによりエッチングし、前記多層膜を階段形状に形成するための半導体装置の製造方法であって、前記フォトレジスト層を前記マスクとして前記第1の膜をエッチングする第1工程と、処理室内の圧力を6Torr以上30Torr以下に設定し、プラズマ生成用の高周波電力とバイアス用の高周波電力とを前記下部電極に印加することによりプラズマを生成し、生成されたプラズマにより前記フォトレジスト層の水平方向の面積を狭めるように前記フォトレジスト層をエッチングする第2の工程と、前記フォトレジスト層と前記第1の膜とを前記マスクとして前記第2の膜をエッチングする第3の工程と、を含み、前記第1の工程及至前記第3の工程を所定回数繰り返し実行することを特徴とする半導体装置の製造方法が提供される。
前記第2の工程では、前記処理室内の圧力を10Torr以上26Torr以下に設定してもよい。
前記第2の工程では、前記処理室内の圧力を14Torr以上22Torr以下に設定してもよい。
前記第2の工程では、0.28W/cm以上0.71W/cm以下のバイアス用の高周波電力を前記下部電極に印加してもよい。
前記第2の工程では、処理ガスとしてO、H、N、CO、COの中から少なくとも1つ選択されたガスを使用してもよい。
前記第1の膜はシリコン酸化膜からなり、前記第2の膜はシリコン窒化膜からなってもよい。
前記多層膜は、前記第1の膜及び前記第2の膜が交互に16層以上積層されてもよい。
以上説明したように本発明によれば、マスク材料の水平方向のエッチングレートを高め、スループットを向上させることが可能な、半導体装置の製造方法を提供することができる。
一実施形態に係る三次元積層半導体メモリの構造を概念的に示した図。 図1の1−1断面図。 一実施形態に係る半導体装置の縦断面を示した全体構成図。 一実施形態に係る半導体装置の製造方法によるエッチング工程を示した図。 一実施形態に係る半導体装置にて実行されるエッチングの原理を説明するための図。 一実施形態に係る半導体装置にて実行されるエッチングの原理を説明するための図。 一実施形態に係るイオン入射角度の圧力依存性を示した図。 一実施形態に係るプラズマ処理装置Aの実施例1,2,比較例に係る水平方向のエッチングの実験結果を示した図。 一実施形態に係るプラズマ処理装置Bの実施例1,2,比較例に係る水平方向のエッチングの実験結果を示した図。 図8及び図9の実験結果を考察するために数値化したグラフ。
以下に添付図面を参照しながら、本発明の実施形態について説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。
[三次元積層半導体メモリの構造]
まず、本発明の一実施形態に係る半導体装置の製造方法を用いて製造される三次元積層半導体メモリの一例について、図1及び図2を参照しながら説明する。図1は、3D NANDフラッシュメモリの構造を概念的に示した斜視図である。図2は、図1の3D NANDフラッシュメモリの1−1断面図である。3D NANDフラッシュメモリは、三次元積層半導体メモリの一例である。
図1に示したNANDフラッシュメモリ100は、例えば、各々が消去の一単位となる複数のブロックから構成される。図1には、二つのブロックBK1、BK2が例示されている。ソース拡散層102は、半導体基板内に形成され、例えば全てのブロックに共通して1つ設けられる。ソース拡散層102は、コンタクトプラグPSを介して、ソース線SLに接続される。ソース拡散層102上には、例えば、比誘電率の異なる第1の膜及び第2の膜が交互に積層された多層膜が形成される。図1では、多層膜は図示の便宜のために6層構造であるが、16層や32層であってもよく、それ以上であってもよい。
図1では、最上層を除く残りの5つの絶縁膜は、各ブロックBK1、BK2内でそれぞれプレート状に形成され、かつ、そのX方向の端部は、各々の絶縁膜にコンタクトをとるために階段形状に形成される。これにより、多層膜は略ピラミッド状に形成される。最下層は、ソース線側セレクトゲート線SGSとなり、最下層及び最上層を除く残りの4つの絶縁膜は、4つのワード線WLとなる。
最上層は、X方向に延びるライン状の複数の導電線から構成される。1つのブロックBK1内には、例えば、6本の導電線が配置される。最上層の例えば6本の導電線は、6つのビット線側セレクトゲート線SGDとなる。
そして、NANDセルユニットを構成するための複数の活性層ACは、複数の絶縁膜を突き抜けてソース拡散層102に達するように、Z方向(半導体基板の表面に対して鉛直方向)に柱状に形成される。
複数の活性層ACの上端は、Y方向に延びる複数のビット線BLに接続される。また、ソース線側セレクトゲート線SGSは、コンタクトプラグPSGを介して、X方向に延びる引き出し線SGSに接続され、ワード線WLは、それぞれコンタクトプラグPW1〜PW4を介してX方向に延びる引き出し線W1〜W4に接続される。
さらに、ビット線側セレクトゲート線SGDは、それぞれ、コンタクトプラグPSDを介して、X方向に延びる引き出し線SGDに接続される。複数のビット線BL及び引き出し線SGS,引き出し線W1〜W4は、例えば金属から構成される。
図2は、図1の1−1線に沿って切断された断面図である。ソース線側セレクトゲート線SGS及びワード線WL1〜WL4は、コンタクトプラグPSG、コンタクトプラグPW1〜PW4を介してX方向に延びる引き出し線SGS,引き出し線W1〜W4から図示しないドライバを構成するトランジスタに接続される。
[プラズマ処理装置の全体構成]
次に、本発明の一実施形態に係るプラズマ処理装置の全体構成について、図3を参照しながら説明する。プラズマ処理装置10は、下部2周波数の平行平板型(容量結合型)プラズマエッチング装置として構成されており、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形の真空チャンバ(処理容器)11を有している。チャンバ11は、接地されている。
チャンバ11内には、被処理体としての半導体ウエハW(以下、ウエハWと称呼する)を載置する載置台12が設けられている。載置台12は、たとえばアルミニウムからなり、絶縁性の筒状保持部14を介してチャンバ11の底から鉛直上方に延びる筒状支持部16に支持されている。載置台12の上面であって静電チャック40の周縁部には、エッチングの面内均一性を高めるために、例えばシリコンから構成されたフォーカスリング18が配置されている。
チャンバ11の側壁と筒状支持部16との間には排気路20が形成されている。排気路20には環状のバッフル板22が取り付けられている。排気路20の底部には排気口24が設けられ、排気管26を介して排気装置28に接続されている。排気装置28は図示しない真空ポンプを有しており、チャンバ11内の処理空間を所定の真空度まで減圧する。チャンバ11の側壁には、ウエハWの搬入出口を開閉する搬送用のゲートバルブ30が取り付けられている。
載置台12には、プラズマ中のイオン引き込み用(バイアス用)の第1高周波電源31及びプラズマ生成用の第2高周波電源32が整合器33及び整合器34を介してそれぞれ電気的に接続されている。第1高周波電源31は、載置台12上のウエハWにプラズマのイオンを引き込むのに寄与する周波数、例えば3.2MHzの第1高周波電力を載置台12に印加する。第2高周波電源32は、チャンバ11内にてプラズマを生成するために寄与する周波数、例えば100MHzの第2高周波電力を載置台12に印加する。このようにして載置台12は下部電極としても機能する。チャンバ11の天井部には、後述するシャワーヘッド38が接地電位の上部電極として設けられている。これにより、第2高周波電源32からの高周波電力は載置台12とシャワーヘッド38との間に容量的に印加される。
載置台12の上面にはウエハWを静電吸着力で保持するための静電チャック40が設けられている。静電チャック40は導電膜からなる電極40aを一対の絶縁膜の間に挟み込んだものである。電極40aには直流電圧源42がスイッチ43を介して電気的に接続されている。静電チャック40は、直流電圧源42からの電圧により、クーロン力でウエハWを静電チャック上に吸着保持する。
伝熱ガス供給源52は、Heガス等の伝熱ガスをガス供給ライン54に通して静電チャック40の上面とウエハWの裏面との間に供給する。
天井部のシャワーヘッド38は、多数のガス通気孔56aを有する電極板56と、この電極板56を着脱可能に支持する電極支持体58とを有する。ガス供給源62は、ガス供給配管64を介してガス導入口60aからシャワーヘッド38内にガスを供給し、多数のガス通気孔56aからチャンバ11内に導入される。
チャンバ11の周囲には、環状または同心円状に延在する磁石66が配置され、磁力によりチャンバ11内のプラズマ生成空間に生成されるプラズマを制御する。
載置台12の内部には冷媒管70が設けられている。この冷媒管70には、チラーユニット71から配管72,73を介して所定温度の冷媒が循環供給される。また、静電チャック40の裏面にはヒータ75が設けられている。ヒータ75には交流電源44から所望の交流電圧が印加される。かかる構成によれば、チラーユニット71による冷却とヒータ75による加熱によってウエハWを所望の温度に調整することができる。また、これらの温度制御は、制御装置80からの指令に基づき行われる。
制御装置80は、プラズマ処理装置10に取り付けられた各部、たとえば排気装置28、交流電源44、直流電圧源42、静電チャック用のスイッチ43、第1及び第2高周波電源31,32、整合器33,34、伝熱ガス供給源52、ガス供給源62及びチラーユニット71を制御する。なお、制御装置80は、図示しないホストコンピュータとも接続されている。
制御装置80は、図示しないCPU(Central Processing Unit),ROM(Read Only Memory)、RAM(Random Access Memory)を有し、CPUは、図示しない記憶部に格納された各種レシピに従ってプラズマ処理を実行する。レシピが格納される記憶部は、例えば半導体メモリ、磁気ディスク、または光学ディスクなどを用いてRAM、ROMとして実現されうる。レシピは、記憶媒体に格納して提供され、図示しないドライバを介して記憶部に読み込まれるものであってもよく、また、図示しないネットワークからダウンロードされて記憶部に格納されるものであってもよい。また、上記各部の機能を実現するために、CPUに代えてDSP(Digital Signal Processor)が用いられてもよい。なお、制御装置80の機能は、ソフトウエアを用いて動作することにより実現されてもよく、ハードウエアを用いて動作することにより実現されてもよし、ソフトウエアとハードウエアの両方を用いて実現されてもよい。
かかる構成のプラズマ処理装置10において、エッチングを行なう際には、先ずゲートバルブ30を開口して搬送アーム上に保持されたウエハWをチャンバ11内に搬入する。ウエハWは、図示しないプッシャーピンにより保持され、プッシャーピンが降下することにより静電チャック40上に載置される。ウエハWを搬入後、ゲートバルブ30が閉じられ、ガス供給源62からエッチングガスを所定の流量および流量比でチャンバ11内に導入し、排気装置28によりチャンバ11内の圧力を設定値に減圧する。さらに、第1高周波電源31及び第2高周波電源32から所定のパワーの高周波電力を載置台12に供給する。また、直流電圧源42から電圧を静電チャック40の電極40aに印加して、ウエハWを静電チャック40上に固定し、伝熱ガス供給源52から静電チャック40の上面とウエハWの裏面との間に伝熱ガスとしてHeガスを供給する。シャワーヘッド38からシャワー状に導入されたエッチングガスは、第2高周波電源32からの高周波電力によりプラズマ化され、これにより、上部電極(シャワーヘッド38)と下部電極(載置台12)との間のプラズマ生成空間にてプラズマが生成され、プラズマによってウエハWの主面がエッチングされる。また、第1高周波電源31からの高周波電力によりウエハWに向かってプラズマ中のイオンを引き込むことができる。
プラズマエッチング終了後、ウエハWがプッシャーピンにより持ち上げられ保持され、ゲートバルブ30を開口して搬送アームがチャンバ11内に搬入された後に、プッシャーピンが下げられウエハWが搬送アーム上に保持される。次いで、その搬送アームがチャンバ11の外へ出て、次のウエハWが搬送アームによりチャンバ11内へ搬入される。この処理を繰り返すことで連続してウエハWが処理される。
[階段形状を形成するためのエッチング工程]
次に、本発明の一実施形態に係る半導体装置の製造方法により行われるエッチング工程について、図4を参照しながら説明する。図4では、本実施形態にて行われる(a−1)エッチング工程開始前の初期状態、(a−2)第1の工程、(a−3)第2の工程、(a−4)第3の工程、(a−5)エッチング工程完了後の最終状態が示されている。
本実施形態のエッチング工程では、多層膜に階段形状を形成する。
(a−1)エッチング工程開始前の初期状態
本実施形態では、基板S上に第1の膜110と第2の膜120とが交互に積層された36層の多層膜が形成されている。なお、多層膜は、第1の膜110及び第2の膜120が交互に16層以上積層されてもよい。
第1の膜110及び第2の膜120は、比誘電率が異なる絶縁膜である。比誘電率が異なる多層膜として、本実施形態では、第1の膜110にシリコン酸化膜(SiO)、第2の膜12にシリコン窒化膜(SiN)が形成されている。
ただし、第1の膜110及び第2の膜120の組み合わせは、上記のシリコン酸化膜/シリコン窒化膜に限られない。例えば、第1の膜110にポリシリコン膜(不純物ドーピング)、第2の膜120にポリシリコン膜(不純物ドーピングなし)が形成されてもよい。ドープの有無により第1の膜110及び第2の膜120の比誘電率を異ならせることができる。不純物ドーピングの不純物として、例えばボロン等をドーピングしてもよい。
第1の膜110及び第2の膜120の他の組み合わせとしては、第1の膜110にシリコン酸化膜(SiO)、第2の膜120にポリシリコン膜(不純物ドーピング)が形成されてもよいし、第1の膜110にシリコン酸化膜(SiO)、第2の膜120にポリシリコン膜(不純物ドーピングなし)が形成されてもよい。
多層膜の直上には、マスクとして機能するフォトレジスト層PRが設けられている。このフォトレジスト層PRの主に水平方向のエッチングが、多層膜に形成される階段形状に寄与する。フォトレジスト層PRの材料としては、有機膜、アモルファスカーボン膜(α―C)が一例として挙げられる。i線(波長365nm)のフォトレジスト層PRであってもよい。
(a−2)第1の工程
第1の工程では、フォトレジスト層PRをマスクとして第1の膜110であるシリコン酸化膜(SiO)をエッチングする。このときのエッチングは、イオンエネルギーにより鉛直方向のエッチングを促進する、所謂通常のエッチングであり、プロセス条件は以下の通りである。
第1の工程のプロセス条件:
圧力 30mT(=3.99966Pa)
第1高周波電源31及び第2高周波電源32のパワー 1000/400W (141.5W/cm、56.6W/cm
ガス種及びガス流量 O/Ar/C=30/1000/16sccm
(a−3)第2の工程
第2の工程では、フォトレジスト層PRをエッチングする。第2の工程では、鉛直方向に対する水平方向のエッチングを高め、フォトレジスト層PRがなるべく水平方向にエッチングされるように、プロセス条件が適正化される。フォトレジスト層PRの水平方向のエッチングのプロセス条件等については後程詳述する。
(a−4)第3の工程
第3の工程では、フォトレジスト層PRと第1の膜110とをマスクとして第2の膜120をエッチングする。このときのエッチングは、イオンエネルギーにより鉛直方向のエッチングを促進するエッチングであり、プロセス条件は以下の通りである。
第3の工程のプロセス条件:
圧力 150mT(=19.9983Pa)
第1高周波電源31及び第2高周波電源32のパワー 300/800W(42.5W/cm、113.2W/cm
ガス種及びガス流量 CH/Ar/C=140/400/70sccm
(a−5)エッチング工程完了後の最終状態
本実施形態では、第1の工程〜第3の工程を繰り返し実行する。これにより、多層膜を階段形状に形成することができる。
[水平方向のエッチング(第2の工程)]
以上に説明したように、第1の工程及び第3の工程では、鉛直方向へのエッチングを主に促進する、いわゆる通常のエッチングであった。これに対して、第2の工程では、フォトレジスト層PRを鉛直方向ではなく、水平方向に選択的にエッチングする技術が求められる。
これまでは、水平方向に積極的にエッチングする技術は開示されておらず、フォトレジスト層PRに対して鉛直方向に寄与するイオンエネルギーを低くすることにより鉛直方向へのエッチングを抑止し、ラジカルによる等方的なエッチングを促進させる方法を採用していた。しかしながら、この方法では、イオンエネルギーが低いためエッチングレートが低く、エッチングの加工時間が長時間になり、スループットが低下するという課題がある。
一方、なんらの方策もとらずに基板Sにバイアスパワーを印加してイオンエネルギーを高めると、前述したように、水平方向のエッチング速度は高くなるが、それ以上に鉛直方向のエッチング速度が高くなり、水平方向の選択的な加工が難しくなってしまう。
また、そもそもフォトレジスト層PRを水平方向にエッチングすることは難しく、鉛直方向も必ずエッチングされてしまう。このため、多層膜の最下層まで階段形状を作り終える前にフォトレジスト層PRが削れてなくなってしまう可能性がある。
よって、フォトレジスト層PRの水平方向のエッチングレートを高め、かつスループットを向上させるために、鉛直方向に対する水平方向のエッチングの比率、すなわち、水平方向へのエッチング指標であるフォトレジスト層トリミング比率(水平方向のエッチングレートを鉛直方向のエッチングレートで割算した比率)を高めることが望まれる。
そこで、本実施形態に係る半導体装置の製造方法では、ラジカルによる等方的なエッチングに加えて、フォトレジスト層PRの鉛直方向に対する水平方向へのイオンの関与比率を高め、フォトレジスト層トリミング比率を大きくする。このため、水平方向へのイオンの入射が多くなるようにプロセス条件を改善する。以下、水平方向へのエッチングを高めるためのプロセス条件の適正化について説明する。
(圧力とイオン角度分布)
イオンエネルギーを下げてエッチングに関与するイオンの比率を下げることにより相対的にエッチングに関与するラジカルの比率を高め、水平方向のエッチングを促進する従来方法では、バイアス用の高周波電力を下部電極に印加し、プラズマ中のイオンを基板側に鉛直方向に引き込むことは行われない。また、圧力を数百mTorrに設定してエッチング処理が行われる。
本実施形態では、イオンエネルギーによる水平方向へのエッチングを高めるため、基板に入射されるイオン角度と圧力との関係に着目する。図5に示したように、プラズマ空間にはプラスの電荷を持つイオン、マイナスの電荷をもつ電子、中性のラジカルが存在する。ラジカルは、主にラジカルと積層膜との化学反応による化学的エッチングに寄与し、イオンは、主に基板へのイオンの叩き込みによる物理的エッチングに寄与する。従って、図6に示したように、ラジカル(O)によるエッチングは、主にフォトレジスト層PRに対して等方的なエッチングになる。一方、イオン(O+)によるエッチングは、イオン角度によりエッチングに指向性のあるエッチングである。プラズマ中のイオンは、シース領域内に入るとシースに掛かる電圧により加速し、基板に叩き込まれる。
イオンエネルギーにより水平方向へのエッチングを高めるためには、イオンの入射角度θが45°になることが理想である。イオンの入射角度が45°より小さくなると、イオンの入射角度θが小さくなるほど(すなわち、イオンの入射角度が0°に近くなるほど)、イオンによる鉛直方向へのエッチングが促進される。その結果、イオンエネルギーによる水平方向へのエッチング比率が低くなるため好ましくない。
一方、イオンの入射角度が45°より大きくなると、イオンの入射角度θが大きくなるほど(すなわち、イオンの入射角度が90°に近くなるほど)、エッチング対象加工面(図5では側面SW)の底部にイオンが入射されにくくなり、イオンエネルギーによる水平方向へのエッチングの寄与率が低くなり、好ましくない。
図7は、イオンの入射角度の圧力依存性を示す。図7(a)の横軸はイオンの入射角度(°)、縦軸はイオン角度寄与関数IADF(Ion Angle Distribution Function)である。図7(a)に示したように、プラズマ中のイオンは様々な角度を持っている。また、イオンの入射角度は、圧力依存性を有している。具体的には、100mTorrのオーダの圧力領域ではプラズマ中のイオン散乱角度(イオンの入射角度に相当)は1度のものが20%程度あるがこの角度ではほとんどのイオンが水平方向のエッチングに寄与していないことがわかる。すなわち、図7(a)に示した100mTorr,500mTorr,1Torrの圧力帯では、圧力が高くなるほどイオン散乱角度が大きくなり、イオンが水平方向のエッチングに寄与する確率が高くなることが分かる。つまり、圧力が高いほど入射角度が大きいイオンの比率が高くなり、つまり、水平方向のエッチングに関与するイオンの数は増えることになる。そこで、本実施形態ではイオンを水平方向のエッチングに寄与させるべく数Torrのオーダの高圧力領域でエッチングを行う。
図7(b)の横軸は圧力(Torr)を示す。図7(b)の縦軸はイオンの入射角度を半値幅(FWHM:Full Width Half Maximum)で示したものである。イオンの入射角度の半値幅は、角度分布の最大値から角度分布の最小値を減算した値の1/2である。半値幅は、イオン中の最も代表的エネルギーを持ったイオンを示す指標である。このイオンの入射角度θが45°になる圧力が、水平方向へのエッチングへの寄与が最も高くなる好ましい条件となる。
これに対して図7(b)を参照すると、イオン角度θの半値幅が45°の場合、圧力は18Torrである。よって、圧力を18Torrに設定すると、イオンが最も水平方向のエッチングに寄与すると考えられる。
好適な圧力としては、イオン角度の半値幅が15°〜75°の範囲の6Torr〜30Torrの圧力帯であってもよい。例えば、下部2周波数印加の半導体製造装置においてマスクトリミングの際にバイアスパワーを印加し、プラズマ中のイオン角度が15°〜75になるような圧力(6Torr〜30Torr)に維持しながらフォトレジスト層PRをトリミングすると、フォトレジスト層PRのエッチングレートが向上し高スループットのトリミングが実現できる。
6Torr〜30Torrの高圧力領域においては、イオンが図5に示したシース領域内に入ると、イオンは加速され衝突回数が増える。よって、イオンがシース領域内に入ると、衝突によりイオンに角度がつきやすくなり、この結果シース内でイオンのもつ角度が大きくなる。これに対して、圧力が6Torrより低くなると、プラズマ中のイオンが基板に到達するまでに生じる衝突回数が少なくなり、特にシース領域内にてイオンに角度がつきにくくなり、イオンが基板の鉛直方向に入射されやすくなって、イオンの鉛直方向に対する水平方向へのエッチングの関与は減少する。一方、圧力が30Torrより高くなると、プラズマ中のイオンが基板に到達するまでに生じる衝突回数が多くなり、イオンエネルギーが減少してイオンの水平方向へのエッチングの関与は減少する。
よって、上記第2の工程では、プラズマ中のイオンの散乱角度が15°〜75°になるように処理室内の圧力を6Torr〜30Torrに設定し、プラズマ生成用の高周波電力とバイアス用の高周波電力とを下部電極に印加する。これにより生成されたプラズマによって、フォトレジスト層PRの水平方向の面積を狭めるようにフォトレジスト層PRをエッチングする。
ただし、イオンの散乱角度が25°〜65°になるように処理室内の圧力を10Torr〜26Torrに設定するとより好ましい。
イオンの散乱角度が35°〜55°になるように処理室内の圧力を14Torr〜22Torrに設定すると更に好ましい。
[水平方向のエッチング(第2の工程)の実験結果]
以上の理論に基づき、実験を行った。まず、イオン角度と圧力との図7の関係に従って、圧力を1Torr(133.322Pa),5Torr(666.61Pa),9Torr(1199.898Pa)と変更した場合の3条件で実験した。マスク材料の水平方向のエッチングがどの程度促進されるかについて、プラズマ生成用の高周波電力とバイアス用の高周波電力の両方を下部電極に印加する下部2周波数電力印加の半導体製造装置(図3:CCPプラズマ処理装置)及びプラズマ生成用の高周波電力を上部電極へ印加し、バイアス用の高周波電力を下部電極に印加する上下部電力印加の半導体製造装置(図示せず)を用いて実験を行った。下部2周波数電力印加の半導体製造装置であって、上部電極と下部電極との電極間隔(以下ギャップGAPと称する)が狭い装置(以下プラズマ処理装置Aと称する)の場合の実験結果を図8に示す。上下部電力印加の半導体製造装置であって、上部電極と下部電極とのギャップGAPが広い装置(以下プラズマ処理装置Bと称する)の場合の実験結果を図9に示す。プラズマ処理装置A及びプラズマ処理装置Bは、容量結合型のプラズマ処理装置である。
(プラズマ処理装置Aの場合の水平方向のエッチング(第2の工程)の実験結果)
まず、初めに図8のプラズマ処理装置Aの場合の実験結果について説明する。水平方向のエッチング(第2の工程)のフォトレジスト層をエッチングする具体的なプロセス条件は次の通りである。なお、本実施形態ではウエハWの直径は300mmである。第1高周波電源の高周波パワーを0W(比較例),200W(実施例1),500W(実施例2)に変更する3条件と、処理室内の圧力を1Torr,5Torr,9Torrに変更する3条件との組み合わせにより、合計9通りの実験を行った。また、高周波パワーの単位W/cmは単位面積当たり(cm)に印加されるパワー(W)として換算した値を表示している。
プラズマ処理装置A
ギャップGAP 35mm
高周波の印加方式 下部2周波
第2高周波電源の高周波(HF) 100MHz
第2高周波電源の高周波パワー 1000W(1.415W/cm
第1高周波電源の高周波(LF) 3.2MHz
第1高周波電源の高周波パワー 比較例 :0W/cm
実施例1:200W(0.28W/cm
実施例2:500W(0.71W/cm
ガス種 O(処理室密閉)
伝熱ガス He 20Torr
圧力 3条件:1,5,9Torr
なお、処理室密閉とは、酸素ガスOを処理室内に充填させ、処理室内が所定の圧力に達したらAPC(Auto Pressure Controller:自動圧力制御装置)を閉じ、ガスを密閉状態とする。このようにしてガスを密閉状態とした後、フォトレジスト層のエッチングを行った。
以上のプロセス条件において、エッチング処理を行う。比較例では、プラズマ中のイオンを引き込むための第1の高周波パワーLFは印加しない。よって、比較例では、イオンはエッチングに積極的に寄与せず、エッチングは主にラジカルのみによって促進される従来の方法と同じである。
一方、実施例1では、0.28(W/cm)の第1の高周波パワーLFを下部電極に印加する。また、実施例2では、0.71(W/cm)の第1の高周波パワーLFを下部電極に印加する。よって、実施例1及び実施例2では、エッチングにはラジカルとイオンによって促進される。高圧雰囲気の下、実施例1及び実施例2では、イオンは水平方向のエッチングに積極的に寄与すると予測される。
図8の実験結果を参照すると、5Torr及び9Torrの場合、実施例1及び実施例2のフォトレジスト層トリミング比率は、比較例のフォトレジスト層トリミング比率と同じ、又はそれ以上となっている。具体的には、5Torrの場合の実施例2のフォトレジスト層トリミング比率は、比較例のフォトレジスト層トリミング比率と同じであるが、5Torrの場合の実施例1のフォトレジスト層トリミング比率及び9Torrの場合の実施例1及び実施例2のフォトレジスト層トリミング比率は、比較例のフォトレジスト層トリミング比率より大きくなっている。特に、9Torrの場合の実施例1のフォトレジスト層トリミング比率は、比較例のフォトレジスト層トリミング比率の2倍程度になっている。
このように、圧力を1,5,9Torrと3条件で実験したところ、高圧になるほど、及び第1の高周波パワーLFを印加したほうがフォトレジスト層トリミング比率が上昇していることが分かる。以上から、プラズマ処理装置Aを用いた場合であって、処理室内の圧力が5Torrより大きく、バイアス用の第1の高周波パワーLFが0.28〜0.71(W/cm)の場合、プラズマ中のイオンが水平方向のエッチングに効果的に関与していることが証明された。
(プラズマ処理装置Bの場合の水平方向のエッチング(第2の工程)の実験結果)
次に、図9のギャップGAPが広い上下部電力印加のプラズマ処理装置Bの場合の実験結果について説明する。水平方向のエッチング(第2の工程)のフォトレジスト層をエッチングする具体的なプロセス条件は次の通りである。第1高周波電源の高周波パワーを0W(比較例),200W(実施例1),500W(実施例2)に変更する3条件と、処理室内の圧力を0.1Torr,0.5Torr,1Torr,5Torrに変更する4条件との組み合わせにより、合計12通りの実験を行った。
プラズマ処理装置B
ギャップGAP 87mm
高周波の印加方式 上下部2周波
第2高周波電源の高周波(HF) 60MHz
第2高周波電源の高周波パワー 1000W(1.415W/cm
第1高周波電源の高周波(LF) 13.56MHz
第1高周波電源の高周波パワー 比較例 :0W/cm
実施例1:200W(0.28W/cm
実施例2:500W(0.71W/cm
ガス種 O(処理室密閉)
伝熱ガス He 20Torr
圧力 4条件:0.1,0.5,1,5Torr
以上のプロセス条件において、エッチング処理を行う。比較例では、プラズマ中のイオンを引き込むための第1の高周波パワーLFは印加しない。よって、比較例では、イオンはエッチングに積極的に寄与せず、エッチングは主にラジカルのみによって促進される従来の方法と同じである。
一方、実施例1では、0.28(W/cm)の第1の高周波パワーLFを下部電極に印加する。また、実施例2では、0.71(W/cm)の第1の高周波パワーLFを下部電極に印加する。よって、実施例1及び実施例2では、エッチングには主にラジカルとイオンが関与する。高圧雰囲気の下、実施例1及び実施例2では、イオンは水平方向のエッチングに積極的に寄与すると予測される。
ところが、図9の実験結果を参照すると、高圧方向に向かってフォトレジスト層トリミング比率が顕著に大きくなる傾向は見受けられない。また、第1高周波電源の高周波パワーが0Wの場合は水平方向のエッチングレートが最も高い0.1Torrの場合でも20nm/minとほとんど水平方向のエッチングができないことがわかる。
図8及び図9の実験結果をグラフ化して比較したものを図10に示す。図10のプラズマ処理装置Aは、図8で示したプロセス条件によってエッチングを実行する半導体製造装置であり、図10のプラズマ処理装置Bは、図9で示したプロセス条件によってエッチングを実行する半導体製造装置である。
これによれば、プラズマ処理装置Aでは、バイアス用の高周波電力LFを印加した実施例1及び実施例2の場合であって圧力を9Torrに設定した場合、フォトレジスト層トリミング比率は比較例に比べて顕著に大きくなる。つまり、バイアス用の高周波電力LFを印加した実施例1及び実施例2の場合であって圧力を高圧に設定した場合、フォトレジスト層トリミング比率が顕著に高くなっていることがわかる。
一方、プラズマ処理装置Bでは、バイアス用の高周波電力LFを印加した実施例1及び実施例2の場合であって圧力を5Torrに設定した場合、プラズマ処理装置Aの場合に比べてフォトレジスト層トリミング比率はさほど大きくなっていない。つまり、バイアス用の高周波電力LFを印加した実施例1及び実施例2の場合であって圧力を高圧に設定した場合、低圧に設定した場合に比べて水平方向のエッチングはやや低下してしまい、かつ水平方向のエッチングレートも微増であることがわかる。図10のフォトレジスト層トリミング比率を見ると、5Torrの場合であって500Wのバイアス用高周波パワーLFを印加した場合のプラズマ処理装置Aのフォトレジスト層トリミング比率は、0.6より大きい。一方、5Torrの場合であって500Wのバイアス用高周波パワーLFを印加した場合のプラズマ処理装置Bのフォトレジスト層トリミング比率は、0.4より小さい。よって、この場合、プラズマ処理装置Aのフォトレジスト層トリミング比率は、プラズマ処理装置Bのフォトレジスト層トリミング比率の1.5倍程度となっている。更に、9Torrの場合であって500Wのバイアス用高周波パワーLFを印加した場合のプラズマ処理装置Aのフォトレジスト層トリミング比率は、5Torrの場合のプラズマ処理装置Bのフォトレジスト層トリミング比率の2倍程度となっている。
(上部電極と下部電極の電極間隔(ギャップGAP)の大きさ)
プラズマ処理装置Bは、プラズマ処理装置Aよりギャップが広い。また、プラズマ処理装置Bでは、プラズマ生成用の高周波は上部電極に印加されるため、上部電極の近傍にてプラズマが生成される。一方、プラズマ処理装置Aでは、プラズマ生成用の高周波は下部電極に印加されるため、下部電極の近傍にてプラズマが生成される。よって、プラズマ処理装置Bでは、プラズマ中のイオンが基板まで到達するまでの移動距離が長い。よって、プラズマ処理装置Bの場合、プラズマ中のイオンが、基板まで飛来する間に多数のガスとの衝突が生じ、イオンエネルギーのほとんどが失われてしまう。
一方、プラズマ処理装置Aでは、プラズマ中のイオンが基板まで到達するまでの移動距離が短い。よって、プラズマ処理装置Aの場合、プラズマ中のイオンが基板まで飛来する間にガスと衝突する確率はプラズマ処理装置Bの場合より少ない。よって、イオンエネルギーがそれほど失われていない状態で基板まで到達する。
そのため、ギャップが広いプラズマ処理装置Bでは、ギャップが狭いプラズマ処理装置Aに比べて、バイアス用の高周波パワーを印加し、かつ数Torrの高圧にプロセス条件を設定したにもかかわらず、水平方向のエッチングは促進されず、水平方向のエッチングレートも高くならなかったと考察される。以上から、フォトレジスト層トリミング比率を向上させるためには、上部電極と下部電極とのギャップは20mm〜40mmであることが好ましく、プラズマ生成用の高周波は下部電極に印加することが好ましいことがわかる。
(ICP(誘導結合型)プラズマ処理装置)
ICPプラズマ処理装置の場合(図示せず)、装置の構造上ギャップが狭いとプラズマの均一性が悪くなる。具体的には、ICPプラズマ処理装置では、チャンバの外部にコイルが配置され、コイルの下方に位置するチャンバ天井面の一部に誘電窓が形成されている。コイルから発せられた電磁波は、誘電窓を透過しチャンバ内に導入される。導入された電磁波の強度分布はコイルの形状とほぼ同じようなパターンを持つ。よって、チャンバ内のプラズマには、強度が高い電磁波のパターンに応じて円形状にプラズマ密度が高くなる部分が生じる。このプラズマの均一性の悪さを抑制するためには、ギャップを広く取ってプラズマを拡散させる必要がある。よって、ICPプラズマ処理装置では、装置の構造上、ギャップを広くとる構造にしなければ微細加工の面内均一性を図れない。
以上から、ICPプラズマ処理装置の場合、プラズマ処理装置Bの場合と同様に、圧力を数Torr程度の高圧に設定したとしても、生成されたプラズマから基板までの距離が長いため、プラズマ中のイオンが、基板まで飛来する間に多数のガスと衝突し、イオンエネルギーのほとんどが失われてしまう。このため、水平方向のエッチングは促進されず、水平方向のエッチングレートも高くならない。
[効果]
以上に説明したように、本実施形態では、次のプロセス条件(1)〜(5)をすべて満たした状態で、多層膜上に形成されたフォトレジスト層PRをエッチングする。
(1)上部電極と下部電極とのギャップが20mm〜40mmの平行平板型プラズマ処理装置(CCPプラズマ処理装置)を使用してエッチングを行う。
(2)下部電極にプラズマ生成用の高周波電力(HF)を印加する。
(3)プラズマ中のイオンの散乱角度が15度以上75度以下になるように処理室内の圧力を6Torr以上30Torr以下にする。
(4)下部電極にバイアス用の高周波電力(LF)を印加する。0.28(W/cm)〜0.71(W/cm)の高周波電力を印加することが好ましい。
これによれば、フォトレジスト層トリミング比率を高め、かつ水平方向のエッチングレートを高めることができる。よって、第2の工程において、所望のエッチング速度で、フォトレジスト層の水平方向の面積を狭めるように水平方向にフォトレジスト層PRをエッチングすることができる。これにより、スループットを向上させることができる。また、多層膜を段階形状にエッチングする途中で、フォトレジスト層PRがなくなることを防ぐことができる。
以上、添付図面を参照しながら本発明の好適な実施形態について詳細に説明したが、本発明はかかる例に限定されない。本発明の属する技術の分野における通常の知識を有する者であれば、特許請求の範囲に記載された技術的思想の範疇において、各種の変更例または修正例に想到し得ることは明らかであり、これらについても、当然に本発明の技術的範囲に属するものと了解される。
例えば、上記実施形態の第2の工程では、処理ガスとしてO(処理室密閉)が用いられたが、本発明はこれに限らず、O、H、N、CO、COの中から少なくとも1つ選択されたガスを使用することができる。また、上記実施形態の第2の工程では、便宜上ガスを密閉状態としたが、ガスの供給方法は、通常通り所望のガスを所定のガス流量で流し続けても良い。
本発明においてプラズマ処理を施される被処理体は、半導体ウエハに限られず、例えば、フラットパネルディスプレイ(FPD:Flat Panel Display)用の大型基板、EL素子又は太陽電池用の基板であってもよい。
10 プラズマ処理装置
11 チャンバ
12 載置台(下部電極)
31 第1高周波電源(バイアス用)
32 第2高周波電源(プラズマ生成用)
38 シャワーヘッド(上部電極)
62 ガス供給源
80 制御装置
110 第1の膜
120 第2の膜
PR フォトレジスト層

Claims (7)

  1. 上部電極と下部電極とを有する平行平板型プラズマ処理装置において、処理ガスを導入し前記下部電極に高周波電力を印加することによりプラズマを生成し、基板上に比誘電率の異なる第1の膜及び第2の膜が交互に積層された多層膜と、該多層膜の上層に位置しマスクとして機能するフォトレジスト層とを前記プラズマによりエッチングし、前記多層膜を階段形状に形成するための半導体装置の製造方法であって、
    前記フォトレジスト層を前記マスクとして前記第1の膜をエッチングする第1工程と、
    処理室内の圧力を6Torr以上30Torr以下に設定し、プラズマ生成用の高周波電力とバイアス用の高周波電力とを前記下部電極に印加することによりプラズマを生成し、生成されたプラズマにより前記フォトレジスト層の水平方向の面積を狭めるように前記フォトレジスト層をエッチングする第2の工程と、
    前記フォトレジスト層と前記第1の膜とを前記マスクとして前記第2の膜をエッチングする第3の工程と、を含み、
    前記第1の工程、前記第2の工程及び前記第3の工程を、前記第1の工程、前記第2の工程及び前記第3の工程の組において、該第1の工程、該第2の工程、該第3の工程の順に、所定回数繰り返し実行することを特徴とする半導体装置の製造方法。
  2. 前記第2の工程では、前記処理室内の圧力を10Torr以上26Torr以下に設定することを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記第2の工程では、前記処理室内の圧力を14Torr以上22Torr以下に設定することを特徴とする請求項2に記載の半導体装置の製造方法。
  4. 前記第2の工程では、0.28W/cm以上0.71W/cm以下のバイアス用の高周波電力を前記下部電極に印加することを特徴とする請求項1〜3のいずれか一項に記載の半導体装置の製造方法。
  5. 前記第2の工程では、処理ガスとしてO、H、N、CO、COの中から少なくとも1つ選択されたガスを使用することを特徴とする請求項1〜4のいずれか一項に記載の半導体装置の製造方法。
  6. 前記第1の膜はシリコン酸化膜からなり、前記第2の膜はシリコン窒化膜からなることを特徴とする請求項1〜5のいずれか一項に記載の半導体装置の製造方法。
  7. 前記多層膜は、前記第1の膜及び前記第2の膜が交互に16層以上積層されていることを特徴とする請求項1〜6のいずれか一項に記載の半導体装置の製造方法。
JP2012033372A 2012-02-17 2012-02-17 半導体装置の製造方法 Active JP5912637B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2012033372A JP5912637B2 (ja) 2012-02-17 2012-02-17 半導体装置の製造方法
US14/376,644 US9202707B2 (en) 2012-02-17 2013-02-05 Semiconductor device manufacturing method
CN201380007751.XA CN104081502B (zh) 2012-02-17 2013-02-05 半导体器件的制造方法
PCT/JP2013/052633 WO2013121936A1 (ja) 2012-02-17 2013-02-05 半導体装置の製造方法
KR1020147021411A KR102038608B1 (ko) 2012-02-17 2013-02-05 반도체 장치의 제조 방법
TW102105074A TW201351499A (zh) 2012-02-17 2013-02-08 半導體裝置之製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012033372A JP5912637B2 (ja) 2012-02-17 2012-02-17 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2013171890A JP2013171890A (ja) 2013-09-02
JP5912637B2 true JP5912637B2 (ja) 2016-04-27

Family

ID=48984049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012033372A Active JP5912637B2 (ja) 2012-02-17 2012-02-17 半導体装置の製造方法

Country Status (6)

Country Link
US (1) US9202707B2 (ja)
JP (1) JP5912637B2 (ja)
KR (1) KR102038608B1 (ja)
CN (1) CN104081502B (ja)
TW (1) TW201351499A (ja)
WO (1) WO2013121936A1 (ja)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105762115B (zh) * 2014-12-18 2018-12-21 中芯国际集成电路制造(上海)有限公司 存储器件的形成方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9613824B2 (en) * 2015-05-14 2017-04-04 Tokyo Electron Limited Etching method
JP6449141B2 (ja) * 2015-06-23 2019-01-09 東京エレクトロン株式会社 エッチング処理方法及びプラズマ処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102522161B1 (ko) * 2015-10-08 2023-04-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9704878B2 (en) 2015-10-08 2017-07-11 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of forming same
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN106206454B (zh) * 2016-09-12 2019-05-03 武汉新芯集成电路制造有限公司 一种形成3d nand闪存的方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US9997366B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation Silicon oxide silicon nitride stack ion-assisted etch
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102374697B1 (ko) * 2017-09-07 2022-03-15 삼성전자주식회사 반도체 소자의 제조방법
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN108557758B (zh) * 2018-02-08 2020-04-28 南京大学 一种循环交替刻蚀同质多级坡面台阶引导生长纳米线阵列的方法
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10580783B2 (en) 2018-03-01 2020-03-03 Sandisk Technologies Llc Multi-tier three-dimensional memory device containing differential etch rate field oxides and method of making the same
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019200565A1 (en) 2018-04-18 2019-10-24 Yangtze Memory Technologies Co., Ltd. Method for forming staircase structure of three-dimensional memory device
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020118100A1 (en) * 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN111627809B (zh) * 2019-02-28 2024-03-22 东京毅力科创株式会社 基片处理方法和基片处理装置
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110416077A (zh) * 2019-07-12 2019-11-05 深圳市华星光电技术有限公司 膜层结构的干法刻蚀方法及膜层结构
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112951802A (zh) * 2021-02-22 2021-06-11 长江存储科技有限责任公司 三维存储器件及其制造方法
CN116322057A (zh) * 2021-02-22 2023-06-23 长江存储科技有限责任公司 三维存储器装置的接触部结构及其形成方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04280629A (ja) * 1991-03-08 1992-10-06 Fujitsu Ltd 微細階段状構造体の製造方法およびそれを用いた半導            体装置
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US7851369B2 (en) * 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
JP2008078404A (ja) * 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
JP2009170661A (ja) * 2008-01-16 2009-07-30 Toshiba Corp 半導体装置の製造方法
JP2009200443A (ja) * 2008-02-25 2009-09-03 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2009266944A (ja) 2008-04-23 2009-11-12 Toshiba Corp 三次元積層不揮発性半導体メモリ
KR101434588B1 (ko) * 2008-06-11 2014-08-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP5330017B2 (ja) * 2009-02-17 2013-10-30 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2011003722A (ja) * 2009-06-18 2011-01-06 Toshiba Corp 半導体装置の製造方法
US7786020B1 (en) * 2009-07-30 2010-08-31 Hynix Semiconductor Inc. Method for fabricating nonvolatile memory device
JP2011166061A (ja) * 2010-02-15 2011-08-25 Toshiba Corp 半導体装置の製造方法
KR101744127B1 (ko) * 2010-11-17 2017-06-08 삼성전자주식회사 반도체 소자 및 그 제조방법
US8530350B2 (en) * 2011-06-02 2013-09-10 Micron Technology, Inc. Apparatuses including stair-step structures and methods of forming the same

Also Published As

Publication number Publication date
KR20140125370A (ko) 2014-10-28
US20140363980A1 (en) 2014-12-11
CN104081502A (zh) 2014-10-01
CN104081502B (zh) 2016-06-29
TWI563561B (ja) 2016-12-21
JP2013171890A (ja) 2013-09-02
US9202707B2 (en) 2015-12-01
KR102038608B1 (ko) 2019-10-30
WO2013121936A1 (ja) 2013-08-22
TW201351499A (zh) 2013-12-16

Similar Documents

Publication Publication Date Title
JP5912637B2 (ja) 半導体装置の製造方法
KR102034556B1 (ko) 플라즈마 처리 방법
KR102435288B1 (ko) 에칭 방법
US9449838B2 (en) Semiconductor device manufacturing method
JP4733214B1 (ja) マスクパターンの形成方法及び半導体装置の製造方法
WO2014069559A1 (ja) プラズマ処理方法及びプラズマ処理装置
KR102307417B1 (ko) 에칭 방법
JP6431557B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2007258426A (ja) プラズマエッチング方法
JP6289996B2 (ja) 被エッチング層をエッチングする方法
US20150099366A1 (en) Plasma etching method
JP2020088174A (ja) エッチング方法及び基板処理装置
US11574814B2 (en) Substrate and substrate processing method
CN110034021B (zh) 蚀刻方法和蚀刻装置
JP7267484B2 (ja) エッチング方法およびエッチング装置
US20220084836A1 (en) Etching method and substrate processing apparatus
JP2022048094A (ja) エッチング処理方法及び基板処理装置
WO2013180179A1 (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160315

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160401

R150 Certificate of patent or registration of utility model

Ref document number: 5912637

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250