JP4865978B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP4865978B2
JP4865978B2 JP2002054439A JP2002054439A JP4865978B2 JP 4865978 B2 JP4865978 B2 JP 4865978B2 JP 2002054439 A JP2002054439 A JP 2002054439A JP 2002054439 A JP2002054439 A JP 2002054439A JP 4865978 B2 JP4865978 B2 JP 4865978B2
Authority
JP
Japan
Prior art keywords
film
etching
capacitor
conductive
conductive film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002054439A
Other languages
English (en)
Other versions
JP2003258203A (ja
JP2003258203A5 (ja
Inventor
秀明 菊池
玄一 小室
光広 遠藤
直樹 平井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Ulvac Inc
Original Assignee
Fujitsu Semiconductor Ltd
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd, Ulvac Inc filed Critical Fujitsu Semiconductor Ltd
Priority to JP2002054439A priority Critical patent/JP4865978B2/ja
Priority to US10/372,275 priority patent/US7763545B2/en
Priority to EP03251108A priority patent/EP1341220A3/en
Priority to TW092104069A priority patent/TWI233160B/zh
Priority to KR1020030012277A priority patent/KR100851480B1/ko
Publication of JP2003258203A publication Critical patent/JP2003258203A/ja
Publication of JP2003258203A5 publication Critical patent/JP2003258203A5/ja
Application granted granted Critical
Publication of JP4865978B2 publication Critical patent/JP4865978B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法に関し、より詳しくは、強誘電体又は高誘電体を用いるキャパシタを構成する電極材料膜のエッチング工程を有する半導体装置の製造方法に関する。
【0002】
【従来の技術】
近年、強誘電体キャパシタや高誘電体キャパシタを用いた半導体メモリが有望視されている。例えば、強誘電体キャパシタは次のような工程によって形成される。
【0003】
まず、図1(a) に示すように、絶縁膜101の上に第1金属膜102、強誘電体膜103及び第2金属膜104を順に形成した後に、第2金属膜104の上にキャパシタ形状のレジストパターン105を形成する。
【0004】
次に、レジストパターン105をマスクにして、第2金属膜104、強誘電体膜103、第1金属膜102を順にエッチングする。このパターニングにより、図1(b) に示すように、第2金属膜104はキャパシタ106の上部電極104aとなり、強誘電体膜103はキャパシタ106の誘電体膜103aとなり、第1金属膜102はキャパシタ106の下部電極102aとなる。
【0005】
ところで、下部電極102aを構成する第1金属膜102は、イリジウム、プラチナ等の貴金属やその酸化物から構成されるために常温での反応性に乏しく、主にスパッタ反応によってエッチングしていた。スパッタの際のエッチングガスとしては、主にアルゴンなどの不活性ガスと塩素の混合ガスを使用していた。
【0006】
そのような金属膜をスパッタ反応によりエッチングすると、キャパシタ106の側面に導電性の強固な側壁デポジション(フェンス)が付着してしまう。
【0007】
そこで、フェンスの形成を抑制するために、レジストパターン105の側面を後退させることによりキャパシタ106の側面の傾斜角度を緩くしたり、キャパシタ106の形状を階段状にするなどの構造が採用されている。
【0008】
【発明が解決しようとする課題】
しかし、キャパシタの下部電極側面の傾斜角度を緩くしたりキャパシタを階段状にすることは、所望の容量を得るためのキャパシタの寸法が大きくなるので、キャパシタを有する半導体装置の微細化に支障をきたす。
【0009】
本発明の目的は、キャパシタの側面にフェンスを形成させず、キャパシタの下部電極の側面を下地絶縁膜に対してより垂直に近い形状にする半導体装置の製造方法を提供することにある。
【0010】
【課題を解決するための手段】
上記した課題は、半導体基板の上方に絶縁膜を形成する工程と、前記絶縁膜上にIr、IrO及びPtの少なくとも一つよりなる第1導電膜を形成する工程と、前記第1導電膜の上に強誘電体材料又は高誘電体材料からなる誘電体膜を形成する工程と、前記誘電体膜の上に第2導電膜を形成する工程と、前記第2導電膜上に窒化チタン膜及び酸化シリコン膜を順に形成してなるキャパシタ形状のマスクを形成する工程と、前記マスクから露出している前記第2導電膜、前記誘電体膜及び前記第1導電膜を順にエッチングすることにより、前記第2導電膜をキャパシタ上部電極とし、前記第1導電体膜をキャパシタ下部電極とする工程を有し、少なくとも前記第1導電膜のエッチングは、前記半導体基板を300℃〜600℃に加熱しつつ、臭化水素と酸素のみを外部から供給するとともに酸素の濃度が80〜90%の雰囲気内で行なわれることを特徴とする半導体装置の製造方法によって解決される。
【0012】
次に、本発明の作用について説明する。
【0013】
本発明によれば、HBr 及びO 2 からなるとともに、O 2 の濃度が80〜90%の範囲の混合ガスをエッチングガスとしてエッチング雰囲気に供給するとともに、半導体ウェハーを300℃以上で加熱して反応性を高めることにより、貴金属あるいは貴金属の酸化物などを用いた導電膜をマスクとエッチングを用いて電極にパターニングしている。
【0014】
これにより、導電膜のパターニングによって形成される電極の側面を下地面に対して77度以上と、垂直に近い形状が得られる。
【0015】
しかも、本発明によれば、HBr とO 2 のみの混合ガスを反応雰囲気に供給するようにしている。これにより、強誘電体又は高誘電体キャパシタを劣化させず且つキャパシタ側壁に導電性フェンスを形成させることなく貴金属やその酸化物を用いた電極を垂直又は従来よりも垂直に近い形状とすることができる。
【0016】
【発明の実施の形態】
以下に本発明の実施形態を図面に基づいて説明する。
【0017】
図2〜図5は、本発明の実施形態に係る半導体装置の製造工程を示す断面図である。
【0018】
まず、図2(a) に示す断面構造を形成するまでの工程を説明する。
【0019】
図2(a) に示すように、n型又はp型のシリコン(半導体)基板1のトランジスタ形成領域の周囲にフォトリソグラフィー法により素子分離用溝を形成した後に、素子分離用溝の中に酸化シリコン(SiO2)を埋め込んで素子分離絶縁膜2を形成する。そのような構造の素子分離絶縁膜2は、STI(Shallow Trench Isolation)と呼ばれる。なお、LOCOS(Local Oxidation of Silicon)法により形成した絶縁膜を素子分離絶縁膜として採用してもよい。
【0020】
続いて、シリコン基板1のトランジスタ形成領域にp型不純物を導入してpウェル1aを形成する。さらに、シリコン基板1のトランジスタ形成領域表面を熱酸化して、ゲート絶縁膜3となるシリコン酸化膜を形成する。
【0021】
次に、シリコン基板1の上側全面に非晶質又は多結晶のシリコン膜及びタングステンシリサイド膜を順次形成し、これらのシリコン膜及びタングステンシリサイド膜をフォトリソグラフィ法によりパターニングして、ゲート電極4a,4bを形成する。
【0022】
なお、1つのpウェル1a上には2つのゲート電極4a,4bが並列に形成され、それらのゲート電極4a,4bはワード線の一部を構成する。
【0023】
次に、pウェル1aのうちゲート電極4a,4bの両側にn型不純物をイオン注入してソース/ドレインとなる第1〜第3のn型不純物拡散領域5a〜5cを形成する。
【0024】
さらに、CVD法により絶縁膜、例えば酸化シリコン(SiO2)膜をシリコン基板1の全面に形成した後に、その絶縁膜をエッチバックしてゲート電極4a,4bの両側部分に絶縁性のサイドウォールスペーサ6として残す。
【0025】
続いて、ゲート電極4a,4bとサイドウォールスペーサ6をマスクに使用して、第1〜第3のn型不純物拡散領域5a〜5cに再びn型不純物をイオン注入することにより、第1〜第3のn型不純物拡散領域5a〜5cをLDD構造にする。
【0026】
なお、1つのトランジスタ形成領域における2つのゲート電極4a,4bの間の第1のn型不純物拡散領域5aはビット線に電気的に接続され、トランジスタ形成領域の両端側の第2、第3のn型不純物拡散領域5b,5cはキャパシタの下部電極に電気的に接続される。
【0027】
以上の工程により、pウェル1aにはゲート電極4a,4bとLDD構造のn型不純物拡散層5a〜5cを有する2つのMOSトランジスタT1 ,T2 が形成される。
【0028】
次に、MOSトランジスタT1 ,T2 を覆うカバー絶縁膜7として約200nmの厚さの酸窒化シリコン(SiON)膜をプラズマCVD法によりシリコン基板1の全面に形成する。その後、TEOSガスを用いるプラズマCVD法により、膜厚1.0μm程度の酸化シリコン(SiO2)を第1層間絶縁膜8としてカバー膜7の上に形成する。
【0029】
続いて、第1層間絶縁膜8の緻密化処理として、例えば常圧の窒素雰囲気中で第1層間絶縁膜8を700℃の温度で30分間熱処理する。その後に、第1層間絶縁膜8の上面を化学機械研磨(CMP)法により平坦化する。
【0030】
次に、図2(b) に示す構造を形成するまでの工程を説明する。
【0031】
まず、フォトリソグラフィ法によりカバー絶縁膜7と第1層間絶縁膜8をパターニングして、第1の不純物拡散領域5aに到達する深さの第1のコンタクトホール8aを形成する。その後、第1層間絶縁膜8上面とコンタクトホール8a内面に、グルー膜として膜厚30nmのチタン(Ti)膜と膜厚50nmの窒化チタン(TiN )膜をスパッタ法により順に形成する。さらに、WF6 を用いるCVD法によってタングステン(W)膜をTiN 膜上に成長して第1のコンタクトホール8a内を完全に埋め込む。
【0032】
続いて、W膜、TiN 膜及びTi膜をCMP法により研磨して第1層間絶縁膜8の上面上から除去する。第1のコンタクトホール8a内に残されたタングステン膜、TiN 膜及びTi膜は第1導電性プラグ9として使用される。
【0033】
その後に、図2(c) に示すように、第1層間絶縁膜8上と第1導電性プラグ9上に、膜厚100nmの窒化シリコン(Si3N4)よりなる酸化防止絶縁膜10aと膜厚100nmのSiO2よりなる下地絶縁膜10bをプラズマCVD法により順に形成する。そのSiO2膜はTEOSを用いてプラズマCVDにより成長される。酸化防止絶縁膜10aは、後のアニール等による熱処理の際にプラグ9が異常酸化してコンタクト不良を起こさないようにするために形成され、その膜厚を例えば70nm以上にすることが望ましい。
【0034】
次に、図3(a) に示すように、レジストパターン(不図示)を用いて酸化防止絶縁膜10a、下地絶縁膜10b及び第1層間絶縁膜8をエッチングすることにより、第2及び第3の不純物拡散領域5b,5cの上に第2及び第3のコンタクトホール8b,8cを形成する。
【0035】
さらに、下地絶縁膜10b上面と第2、第3のコンタクトホール8b,8c内面に、グルー膜として膜厚30nmのTi膜と膜厚50nmのTiN 膜をスパッタ法により順に形成する。さらに、CVD法によりW膜をTiN 膜上に成長して第2、第3のコンタクトホール8b,8c内を完全に埋め込む。
【0036】
続いて、図3(b) に示すように、W膜、TiN 膜及びTi膜をCMP法により研磨して下地絶縁膜10bの上面上から除去する。これにより第2、第3のコンタクトホール8b,8c内に残されたタングステン膜、TiN 膜及びTi膜をそれぞれ第2、第3導電性プラグ11a,11bとする。
【0037】
次に、図3(c) に示す構造を形成するまでの工程を説明する。
【0038】
まず、第2、第3導電性プラグ11a,11b上と下地絶縁膜10b上に第1導電膜15として例えば膜厚300nmのイリジウム(Ir)膜、プラチナ(Pt)膜、酸化プラチナ(PtO) 膜、酸化イリジウム(IrO x ) 膜、又はSRO(ストロンチウムルテニウム酸素)膜を形成する。
【0039】
なお、第1導電膜15を形成する前又は後に例えば膜剥がれ防止のために下地絶縁膜10bをアニールする。アニール方法として、例えば、アルゴン雰囲気中で600〜750℃のRTA(rapid thermal annealing) を採用する。
【0040】
次に、第1導電膜15上に、強誘電体膜16として例えば膜厚100nmのPZT膜をスパッタ法により形成する。強誘電体膜16の形成方法は、その他に、MOD(metal organic deposition)法、MOCVD( 有機金属CVD)法、ゾル・ゲル法などがある。また、強誘電体膜16の材料としては、PZTの他に、PLCSZT、PLZTのような他のPZT系材料や、SrBi2Ta2O9、SrBi2(Ta,Nb)2O9 等のBi層状構造化合物材料、その他の金属酸化物強誘電体であってもよい。
【0041】
続いて、酸素雰囲気中で強誘電体膜16をアニールにより結晶化する。アニールとして、例えばアルゴンと酸素の混合ガス雰囲気中で基板温度600℃、時間90秒の条件を第1ステップ、酸素雰囲気中で基板温度750℃、時間60秒の条件を第2ステップとする2ステップのRTA処理を採用する。
【0042】
さらに、強誘電体膜16の上に、第2導電膜17として例えば膜厚200nmの酸化イリジウム(IrO2)をスパッタ法により形成する。
【0043】
この後に、第2導電膜17上に、ハードマスク18としてTiN 膜とSiO2膜を順に形成する。そのハードマスク18は、フォトリソグラフィー法により第2及び第3導電性プラグ11a,11bの上方にキャパシタ平面形状となるようにパターニングされる。
【0044】
次に、図4(a) に示すように、ハードマスク18に覆われない領域の第2導電膜17、強誘電体膜16、第1導電膜15を順次エッチングする。この場合、強誘電体膜16は、塩素とアルゴンを含む雰囲気中でスパッタ反応によりエッチングされる。また、第2導電膜17と第1導電膜15は、臭素(Br2)導入雰囲気中、Brを含む雰囲気中、又は HBrと酸素のみを導入した雰囲気中でスパッタ反応によりエッチングされる。
【0045】
以上により、酸化防止絶縁膜10の上には、第1導電膜15よりなるキャパシタQの下部電極15aと、強誘電体膜16よりなるキャパシタQの誘電体膜16aと、第2導電膜17よりなるキャパシタQの上部電極17aが形成される。そして、トランジスタ形成領域において、1つの下部電極15aは第2導電性プラグ11aを介して第2不純物拡散領域5bに電気的に接続され、また、別の下部電極15aは第3導電性プラグ11bを介して第3不純物拡散領域5cに電気的に接続される。また、下部電極15aとキャパシタQの側面のテーパ角θは約80度になった。
【0046】
その後に、ハードマスク18を除去する。
【0047】
続いて、エッチングによる強誘電体膜16のダメージを回復するために、回復アニールを行う。この場合の回復アニールは、例えば、基板温度650℃、60分間の条件で酸素雰囲気中で行われる。
【0048】
次に、図4(b) に示すように、キャパシタQを覆う保護膜19として膜厚50nmのアルミナをスパッタにより下地絶縁膜10bの上に形成した後に、酸素雰囲気中で650℃で60分間の条件でキャパシタQをアニールする。この保護膜19は、プロセスダメージからキャパシタQを保護するものである。
【0049】
その後、TEOSガスを用いるプラズマCVD法により、第2層間絶縁膜20として膜厚1.0μm程度の酸化シリコン(SiO2)を保護膜19上に形成する。さらに、第2層間絶縁膜20の上面をCMP法により平坦化する。この例では、CMP後の第2層間絶縁膜20の残りの膜厚は、キャパシタQの上部電極17a上で300nm程度とする。
【0050】
次に、レジストマスク(不図示)を用いて、図5(a) に示すように、第2層間絶縁膜20、保護膜19、酸化防止絶縁膜10a及び下地絶縁膜10bをエッチングすることにより第1導電プラグ9の上にホール20aを形成する。
【0051】
さらに、ホール20a内と第2層間絶縁膜20上に、グルー膜として膜厚50nmのTiN 膜をスパッタ法により順に形成する。さらに、CVD法によりW膜をグルー層上に成長するとともにホール20a内を完全に埋め込む。
【0052】
続いて、W膜及びTiN 膜をCMP法により研磨して第2層間絶縁膜20の上面上から除去する。そして、ホール20a内に残されたタングステン膜及びグルー層を、第4導電性プラグ21とする。この第4導電性プラグ21は、第1導電性プラグ9を介して第1不純物拡散領域5aに電気的に接続される。
【0053】
次に、図5(b) に示す構造を形成するまでの工程を説明する。
【0054】
まず、第4導電性プラグ21上と第2層間絶縁膜20上に、第2の酸化防止膜(不図示)としてSiON膜をCVD法により形成する。さらに、第2の酸化防止膜(不図示)と第2層間絶縁膜20をフォトリソグラフィー法によりパターニングしてキャパシタQの上部電極17a上にコンタクトホール20bを形成する。
【0055】
コンタクトホール20bを形成することによりダメージを受けたキャパシタQはアニールによって回復される。そのアニールは、例えば酸素雰囲気中で基板温度550℃として60分間行われる。
【0056】
その後に、第2層間絶縁膜20上に形成された酸化防止膜をエッチバックによって除去するとともに、第4導電性プラグ21の表面を露出させる。
【0057】
次に、キャパシタQの上部電極17a上のコンタクトホール20b内と第2層間絶縁膜20の上に多層金属膜を形成する。その後に、多層金属膜をパターニングすることにより、コンタクトホール20bを通して上部電極17aに接続される一層目金属配線21aと第4導電性プラグ21に接続される導電性パッド21bを形成する。その多層金属膜として、例えば、膜厚60nmのTi、膜厚30nmのTiN 、膜厚400nmのAl-Cu 、膜厚5nmのTi、及び膜70nmのTiN を順に形成した構造を採用する。
【0058】
なお、多層金属膜のパターニング方法として、多層金属膜の上に反射防止膜を形成し、さらに反射防止膜上にレジストを塗布した後に、レジストを露光、現像して配線形状等のレジストパターンを形成し、そのレジパターンを用いて反射防止膜と多層金属膜をエッチングする方法を採用する。
【0059】
さらに、第2層間絶縁膜20、一層目金属配線21a及び導電性パッド21bの上に第3層間絶縁膜22を形成する。続いて、第3層間絶縁膜22をパターニングして導電性パッド21bの上にホール22aを形成し、そのホール22a内に下から順にTiN 膜及びW膜からなる第5導電性プラグ23を形成する。
【0060】
その後に、特に図示しないが、ビット線を含む二層目配線を第3層間絶縁膜上に形成する。そのビット線は、第5導電性プラグ23、導電性パッド21b、第4導電性プラグ21及び第1導電性プラグ9を介して第1不純物拡散領域5aに電気的に接続される。それに続いて、二層目配線層を覆う絶縁膜等が形成されるが、その詳細は省略する。
【0061】
以上の工程は、FeRAMのメモリセル領域の形成工程である。次に、キャパシタの下部電極となる第1導電膜のエッチングを中心にして詳細に説明する。
【0062】
第1導電膜15のエッチングされた側面を下地絶縁膜10b上面に対して垂直に近い形状にするためには、エッチングガスと被エッチング材料との化学反応性を高めることが有効と考えられる。
【0063】
エッチングガスのプラズマ中でエッチングガスと被エッチング材料が化学反応を起こして揮発性の物質を生成し排気されることで被エッチング材料がエッチングされる。揮発性の反応生成物はエッチング側面に付着せずに排気されるため垂直に近いエッチング形状が得られる。
【0064】
一方、スパッタ作用を用いるとエッチングされた膜側面(エッチング側面)にエッチング生成物が付着し、そのエッチング生成物がマスクとなるためにエッチング側面が垂直形状になり難い。特に、第1導電膜15、強誘電体膜16及び第2導電膜16をスパッタ作用によりエッチングしてエッチング側面を垂直に近い形状にしようとすると、導電性フェンスがエッチング側面に形成されてキャパシタの特性を著しく低下させてしまう。
【0065】
従って、Ir、Ptなどの貴金属やその酸化物からなる第1、第2導電膜15,17を垂直に近い形状にし且つエッチング側面にフェンスを形成させずにエッチングするためには、シリコン基板1を高温にするなどの方法によりエッチングガスと被エッチング材料との化学反応性を高めることが重要である。シリコン基板1を高温にする場合、フォトレジストは耐熱性に乏しいためマスク材料としてはフォトレジスト以外の材料からなるハードマスクを使用し、最適なエッチングガスを用いる必要がある。
【0066】
まず、キャパシタを構成する膜のパターニングに使用されるエッチング装置を図6に基づいて説明する。
【0067】
図6に示した装置は、ICPプラズマエッチング装置である。
【0068】
図6において、減圧室31内にはウェハステージ32が配置されている。そのウェハステージ32は、ヒータ32a上に静電チャック32bを搭載した構造を有し、その静電チャック32bには第1高周波電源33が接続されている。
【0069】
また、減圧室31内には、ウェハステージ32を囲む略円筒形の防着板34が配置され、その防着板34の上部は石英板34aによって塞がれている。また、石英板34a上には、第2高周波電源35が印加されるアンテナコイル36が取り付けられており、アンテナコイル36に高周波電力を印加することによって防着板34内でプラズマが発生される。そのような防着板34と石英板34aに囲まれたエッチング雰囲気内にはガス導入管40が接続されていて、図3(c) と図4(a) に示したようなエッチング工程で、第1導電膜15、強誘電体膜16、第2導電膜17のそれぞれのエッチングに適したガスが導入される。なお、第1導電膜15、第2導電膜17を構成する材料としては、化学的に安定なIr、Ptなどの貴金属やその酸化物が用いられている。
【0070】
さらに、減圧室31には排気管31aが接続され、また、防着板34のうち排気管31aに近い部分には開口34bが形成されている。減圧室31にはゲートバルブ37を介してロードロック室38が隣接されている。そして、防着板34のうちロードロック室39に近い部分には、シャッタ34cにより開閉されるウェハ搬送口34dが形成されている。
【0071】
次に、そのようなエッチング装置を使用して電極材料膜をエッチングすることについて説明する。
【0072】
まず、電極材料となるイリジウム(Ir)膜をエッチングしてエッチングレートと温度の関係を調べた。そのエッチング条件は、防着板34内の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、第1高周波電源33からのバイアスパワーを300wattとして、ウェハステージ32の温度を250℃〜400℃まで変化させた。
【0073】
図7には、ハロゲンを含んだガス、即ち、HBr とArの混合ガス、SF6 とArの混合ガスおよびCl2 とArの混合ガスの各々によるIr膜のエッチレートとそのステージ温度依存性を示す。
【0074】
HBr とSF6 についてはIr膜のエッチレートの温度依存性があったが、Cl2 についてはエッチレートの温度依存性は無かった。これによりCl2 についてはウェハーステージ32を高温にしても化学反応性の向上は期待できないが、SF6 やHBr についてはウェハーステージ32を高温とすることで化学反応性を向上させることができるといえる。
【0075】
従って、SF6 又はHBr を用いてウェハーステージ32を高温にすることによりIr、Ptなどの貴金属やその酸化物を垂直に近い形状で化学反応によるエッチングが可能と考えられる。しかしSF6 は反応性が強すぎてハードマスク材料がエッチングされて保持されないことや、エッチレートを安定に制御することが難しいなどの問題点があった。そこでHBr ガスに注目して実験を行った。以下に実験の内容を示す。
【0076】
エッチングガスとしてHBr などの水素を含んだガスを用いると、エッチングガスに含有する水素の影響により、強誘電体膜16を構成するPZTなどの強誘電体材料のキャパシタ性能を劣化させる懸念がある。
【0077】
そこで、本願発明者らは、水素の影響については、水素を酸素と反応させて水とすることで除去できると考えた。水は沸点が低く減圧下で高温とすることで容易に揮発する。そのため水素が強誘電体キャパシタに取り込まれなくなると考えた。
【0078】
そこで他のエッチング装置を用いて作成した強誘電体キャパシタ特性測定用のサンプルをウェハステージ32上に置き、ウェハステージ32を400℃の温度に設定してHBr プラズマに晒すことによりキャパシタの性能を調査した。
【0079】
この場合、円筒状の防着板34内の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、第1高周波電源33から電極36へのバイアスパワーを0wattとして、防着板34内に供給される酸素の濃度を0%〜50%まで変化させたところ、図8に示すような結果が得られた。
【0080】
図8は、HBr-O2ガス中のO2の濃度と強誘電体キャパシタの性能を表す分極電荷量Qswの関係を示し、HBr プラズマに晒されることにより強誘電体キャパシタの性能が著しく低下してしまうことがわかる。しかし、O2を10%以上添加することによりキャパシタの劣化が抑えられることがわかった。なお、図8に示す分極電荷量Qswは、キャパシタに印加する電圧を±5Vとして得られた。
【0081】
ここでエッチングガスであるHBr にO2を混合させると、エッチレートの極端な低下が懸念される。そこでHBr-O2ガス中のO2の濃度を変化させて図6に示すエッチング装置を用いてエッチレートを測定した。
【0082】
その測定は、円筒状の防着板34内の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、第1高周波電源33のバイアスパワーを300wattとして、防着板34内に流すHBr-O2ガス中のO2の濃度を50%〜90%まで変化させた。
【0083】
図9に、HBr-O2ガス中のO2の濃度を変化させた場合のIr、IrO x 、Pt、SiO2のそれぞれのエッチレートを示す。O2濃度を80%以上としても十分なエッチレートが得られたので、懸念されたようなエッチレートの極端な低下は無かった。これによりHBr とO2の混合ガスにはエッチャントとして十分な能力があることがわかった。
【0084】
図10に、エッチング雰囲気内で発生させるHBr-O2プラズマ中のO2濃度を80%に固定してバイアスパワーを変化させた場合のIr、IrO x 、Pt、SiO2の各々のエッチレートを示す。
【0085】
そのエッチングレートの測定では、エッチング雰囲気内の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、円筒状の防着板34内に流すHBr-O2ガス中のO2の濃度を80%とし、第1高周波電源33のバイアスパワーを200wattから400wattまで変化させた。これにより、バイアスパワーの増加によりIr、IrO x 、Ptの各々のエッチレートが向上した。
【0086】
バイアスパワーを増加させるとシリコン酸化膜(SiO2)のエッチレートが増加しシリコン酸化膜に対する導電膜のエッチング選択比の低下が懸念されたが、図10からわかるようにバイアスパワーを増加させてもシリコン酸化膜のエッチレートはそれほど増加しなかった。その結果、バイアスパワーを増加させることによりシリコン酸化膜に対する選択比が向上することがわかった。
【0087】
通常のエッチングにおいてはバイアスパワーを増加させるとシリコン酸化膜に対する導電膜のエッチング選択比は著しく低下する。
【0088】
図10に示したバイアスパワーとシリコン酸化膜に対する導電膜のエッチング選択比の関係は通常とは逆の現象になったが、これについても高温エッチングの特長と考えることができる。
【0089】
そのような結果からHBr とO2の混合ガスを用いてバイアスパワーなどを調整することで貴金属やその酸化物の高速かつ高選択なエッチングが可能であることがわかる。HBr-O2混合ガス中のO2の濃度は、キャパシタの性能の劣化抑制の観点から少なくとも10%に設定する必要がある。さらに、エッチレートの観点からはO2の濃度を90%以下にすることが望ましいと考えられる。
【0090】
以上により、HBr とO2を含むガスを用いてステージ温度を300℃以上の高温とすると、強誘電体キャパシタや高誘電体キャパシタの電極材料として使用されているIr、Ptなどの貴金属やその酸化物を高エッチングレートで、且つSiO2に対して選択的にエッチングすることが可能になることがわかった。
【0091】
HBr とO2の混合ガスによって電極材料がエッチングできることがわかったので、実際の電極材料についてエッチング行った。この場合、HBr-O2ガス中のO2の濃度としては、キャパシタ性能の劣化抑制の観点から少なくとも10%とする必要がある。
【0092】
実験を行った過程において酸素を添加することにより、パターニングされた導電膜のフェンスや側壁デポの付着も抑制できることを発見し酸素の濃度が高いほどフェンスや側壁デポジションの抑制効果が大きいことがわかった。
【0093】
フェンスや側壁デポジションの抑制効果の観点からはHBr-O2混合ガス中のO2の濃度を80%以上とすることが望ましい。エッチレートの観点からはO2の濃度を90%以下とすることが望ましい。従って、HBr-O2混合ガス中のO2の濃度としては80%〜90%が適していると考えられる。
【0094】
図11に、HBr-O2プラズマ中のO2濃度を80%に固定してステージ温度を変化させた場合のIr、IrO x 、Pt、SiO2の各々のエッチレートを示す。
【0095】
図6に示すICPエッチング装置を使用して、円筒状の防着板34内の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、第1高周波電源33のバイアスパワーを300wattとして、エッチング雰囲気内に流すHBr-O2ガス中のO2の濃度を80%に設定して、ウェハステージ34の温度を250℃〜400℃まで変化させた。その結果、IrとPtのそれぞれのエッチングレートにはステージ温度依存性があり、化学反応的なエッチングが期待できる。
【0096】
HBr とO2の混合ガスによって電極材料を化学反応的にエッチングできることがわかったので、膜厚300nmのIr膜、膜厚200nmのIrO x 膜、膜厚300nmのPt膜をサンプルとして順次エッチングを行い、それらの膜のエッチング部分のテーパー角度とウェハステージ温度の関係を調査した。その結果が図12である。
【0097】
図12の実験において、円筒状の防着板34内の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、第1高周波電源33のバイアスパワーを700wattとして、防着板34内に流すHBr-O2ガス中のO2の濃度を80%に設定して、ウェハステージ32の温度を250℃〜400℃まで変化させてエッチングした後に各膜のエッチング部分のテーパー角度を測定した。Ir膜、IrO x 膜、Pt膜の各々についてのテーパー角度にはステージ温度依存性があった。Ir膜とIrO x 膜のそれぞれについてはステージ温度を250℃以上とすることでテーパー角度が77度以上となって一応の目標値である80度に近づいた。Pt膜については300℃以上とする必要があった。ウェハステージ34の温度を400℃とすることで何れの材料膜にもほぼ80度のテーパー角度が得られた。ウェハステージ温度を400℃よりも大きくすることにより、テーパー角はさらに90度に近づくことがわかった。
【0098】
以上の実験からHBr とO2のプラズマを用いてウェハステージ32の温度を高温とすることで、強誘電体キャパシタや高誘電体キャパシタの電極材料として使用されているIr、Ptなどの貴金属やその酸化物についてフェンスを形成させずに垂直に近い形状でエッチングすることが可能となった。
【0099】
ステージ温度を250℃以下とすると電極材料のエッチレートが低下し、しかもエッチング選択比が低下するのでマスクが保持されないし、テーパー形状も緩くなってしまう。ステージ温度を450℃以上とするとウェハーを静電チャック35により安定して保持することができないなどの問題がある。従ってステージ温度の温度範囲としては、300〜450℃が望ましいと考えられる。各材料についてステージ温度の最適値を求め、各材料毎にエッチングチャンバーを変更してエッチングする方法も考えられる。
【0100】
なお、エッチング装置は、上記したようなICP型に限定されず、しかも静電チャックを使用するものに限定されるものではない。静電チャックを使用しないエッチング装置においては、ステージ温度の上限は450℃とならず、600℃である。誘電体膜をPZT系の材料を使用する場合には、その膜中のPbが600℃で揮発するので、上部電極に覆われてること等を考慮して誘電体膜の膜質劣化の防止の観点からウェハステージの温度を600℃を上限とするのが好ましい。
【0101】
以上の実験結果に基づいて、図3(c) に示す状態で、ハードマスク18を使用してIr、IrO x 、Ptなどの材料からなる導電膜15,16をエッチングする工程では、ウェハステージ34の温度を高温、例えば300〜450℃としてHBr ガスあるいはHBr とO2の混合ガスを用いて導電膜15,16をエッチングする。エッチング条件については膜種や膜厚に応じて最適化する。
【0102】
次に、以下のように条件を調整した例とその条件でのエッチレートを示す。この場合のエッチング装置として、図6に例示したICP型のプラズマエッチング装置を使用した。
【0103】
エッチング条件については、エッチング雰囲気の圧力を0.5Paとし、第2高周波電源35からアンテナコイル36へのソースパワーを800wattとし、第1高周波電源33のバイアスパワーを700wattとして、さらに、エッチング雰囲気内にHBr を10sccm、O2を40sccmの流量で流し、ウェハステージ32の温度を400℃に設定する。この場合、オーバーエッチング量を100%とする。このようなエッチング条件によれば、IrO x 膜のエッチレートは372nm/min、Pt膜のエッチレートは331nm/min、Ir膜のエッチレートは322nm/min、SiO2膜のエッチレートは49nm/minとなった。
【0104】
図13、図14、図15は、そのような条件により下地絶縁膜41の上のIr膜42、IrO x 膜43、Pt膜44を別々にエッチングしたときの形状を示す。
【0105】
なお、上記した実施形態では、キャパシタを構成する第1導電膜、強誘電体膜、第2導電膜は1つのハードマスク18により連続してエッチングしたが、複数のマスクを用いて別々にエッチングしてもよい。また、キャパシタの形状は、段差を持ったキャパシタの形状にしてもよい。
【0106】
上記した実施形態では、FeRAMメモリセルの形成について説明したが、高誘電体材料を誘電体膜とするキャパシタの電極を形成する場合にも上記したエッチング方法を用いてもよい。
(付記1)半導体基板上に絶縁膜を形成する工程と、
前記絶縁膜上に貴金属又はその酸化物からなる導電膜を形成する工程と、
前記半導体基板を加熱しながら、臭素を含む雰囲気で前記導電膜をエッチングする工程と
を含むことを特徴とする半導体装置の製造方法。
(付記2)前記臭素を含む雰囲気は、臭化水素と酸素からなることを特徴とする付記1に記載の半導体装置の製造方法。
(付記3)前記半導体基板の加熱は、300℃から600℃の範囲であることを特徴とする付記1又は付記2に記載の半導体装置の製造方法。
(付記4)半導体基板の上方に絶縁膜を形成する工程と、
前記絶縁膜上に第1導電膜を形成する工程と、
前記第1導電膜の上に強誘電体材料と高誘電体材料からなる誘電体膜を形成する工程と、
前記誘電体膜の上に第2導電膜を形成する工程と、
前記第2導電膜上にキャパシタ形状のマスクを形成する工程と、
前記マスクから露出している前記第2導電膜、前記誘電体膜及び前記第1導電膜を順にエッチングすることにより、前記第2導電膜をキャパシタ上部電極とし、前記第1導電体膜をキャパシタ下部電極とする工程を有し、
少なくも前記第1導電膜のエッチングは、臭素を含む雰囲気内で行われ且つ前記半導体基板の加熱温度を300℃〜600℃の範囲に設定されることを特徴とする半導体装置の製造方法。
(付記5)前記雰囲気には、臭素のみ、臭化水素及び酸素のみのいずれかが外部から供給されることを特徴とする付記5に記載の半導体装置の製造方法。
(付記6)前記加熱温度は、350℃〜450℃であることを特徴とする付記4又は付記5に記載の半導体装置の製造方法。
(付記7)半導体基板の上方に絶縁膜を形成する工程と、
前記絶縁膜上に第1導電膜を形成する工程と、
前記第1導電膜の上に強誘電体材料と高誘電体材料からなる誘電体膜を形成する工程と、
前記誘電体膜の上に第2導電膜を形成する工程と、
前記第2導電膜上にキャパシタ形状のマスクを形成する工程と、
前記マスクから露出している前記第2導電膜、前記誘電体膜及び前記第1導電膜を順にエッチングすることにより、前記第2導電膜をキャパシタ上部電極とし、前記第1導電体膜をキャパシタ下部電極とする工程を有し、
少なくも前記第1導電膜のエッチングは、臭化水素と酸素のみを外部から供給した雰囲気内で行われることを特徴とする半導体装置の製造方法。
(付記8)前記雰囲気に供給される前記臭化水素と前記酸素のうち、前記酸素の濃度は10%〜90%の範囲内にあることを特徴とする付記4乃至付記7のいずれかに記載の半導体装置の製造方法。
(付記9)前記第1導電膜は、貴金属又はその酸化物から形成されていることを特徴とする付記4乃至付記8に記載の半導体装置の製造方法。
(付記10)前記マスクは、ハードマスクであることを特徴とする付記4乃至付記9のいずれかに記載の半導体装置の製造方法。
【0107】
【発明の効果】
以上述べたように本発明によれば、半導体ウェハーを加熱して反応性を高めることにより貴金属あるいは貴金属の酸化物を用いた導電膜をマスクとエッチングを用いて電極にパターニングする際に、電極の側面を77度以上と、垂直又は垂直に近い形状を得ることができる。
【0108】
しかも、本発明によれば、HBr とO2のみの混合ガス又はBr2 ガスを反応雰囲気に供給するようにしたので、強誘電体又は高誘電体キャパシタを劣化させず且つキャパシタ側壁に導電性フェンスを形成させることなく貴金属やその酸化物を用いた電極の側面を垂直又は垂直に近い形状とすることができ半導体デバイスの高集積化を促進することができる。
【図面の簡単な説明】
【図1】図1(a),(b) は、従来のキャパシタの形成工程を示す断面図である。
【図2】図2(a) 〜(c) は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その1)である。
【図3】図3(a) 〜(c) は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その2)である。
【図4】図4(a),(b) は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その3)である。
【図5】図5(a) ,(b) は、本発明の実施形態に係る半導体装置の形成工程を示す断面図(その4)である。
【図6】図6は、本発明の実施形態に係る半導体装置の形成に用いられるエッチング装置の一例を示す構成図である。
【図7】図7は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるイリジウムのエッチングレートとステージ温度との関係を示す図である。
【図8】図8は、本発明の実施形態に係る半導体装置のキャパシタをHBr と酸素の混合ガスプラズマに曝した場合の分極電荷量と酸素濃度の関係を示す図である。
【図9】図9は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるイリジウム膜、酸化イリジウム膜及びプラチナ膜と、絶縁膜として使用されるシリコン酸化膜のそれぞれをHBr と酸素の混合ガスプラズマでエッチングした場合のエッチングレートとHBr(又はO2)濃度の関係を示す図である。
【図10】図10は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるイリジウム膜、酸化イリジウム膜及びプラチナ膜と、絶縁膜として使用されるシリコン酸化膜のそれぞれをHBr と酸素の混合ガスプラズマでエッチングした場合のエッチングレートとバイアスパワーの関係を示す図である。
【図11】図11は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるイリジウム膜、酸化イリジウム膜及びプラチナ膜と、絶縁膜として使用されるシリコン酸化膜のそれぞれをHBr と酸素の混合ガスプラズマでエッチングした場合のエッチングレートとウェハステージ温度の関係を示す図である。
【図12】図12は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるイリジウム膜、酸化イリジウム膜及びプラチナ膜をHBr とO2の混合ガスプラズマでエッチングした場合のエッチング側面のテーパー角とウェハステージ温度の関係を示す図である。
【図13】図13(a) は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるイリジウム膜のエッチング後の写真に基づいて描いた斜視図、図13(b) はその断面図である。
【図14】図14(a) は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用される酸化イリジウム膜のエッチング後の写真に基づいて描いた斜視図、図14(b) はその断面図である。
【図15】図15(a) は、本発明の実施形態に係る半導体装置のキャパシタ用電極に使用されるプラチナ膜のエッチング後の写真に基づいて描いた斜視図、図15(b) はその断面図である。
【符号の説明】
1…シリコン(半導体)基板、2…素子分離絶縁膜、3…ゲート絶縁膜、4a,4b…ゲート電極、5a,5b,5c…不純物拡散領域、6…サイドウォールスペーサ、7…カバー絶縁膜、8…層間絶縁膜、9…導電性プラグ、10a…酸化防止絶縁膜、10b…下地絶縁膜、11a,11b…導電性プラグ、15…第1導電膜、15a…上部電極、16…強誘電体膜、16a…誘電体膜、17…第2導電膜、17a…上部電極、18…ハードマスク、19…保護膜、20…層間絶縁膜、21…導電性プラグ、22…層間絶縁膜、23…プラグ、31…減圧室、32…ウェハステージ、32a…ヒータ、32b…静電チャック、33…高周波電源、34…防着板、35…高周波電源、36…アンテナコイル、37…ゲートバルブ、38…ロードロック室、40…ガス導入管。

Claims (2)

  1. 半導体基板の上方に絶縁膜を形成する工程と、
    前記絶縁膜上にIr、IrO及びPtの少なくとも一つよりなる第1導電膜を形成する工程と、
    前記第1導電膜の上に強誘電体材料又は高誘電体材料からなる誘電体膜を形成する工程と、
    前記誘電体膜の上に第2導電膜を形成する工程と、
    前記第2導電膜上に窒化チタン膜及び酸化シリコン膜を順に形成してなるキャパシタ形状のマスクを形成する工程と、
    前記マスクから露出している前記第2導電膜、前記誘電体膜及び前記第1導電膜を順にエッチングすることにより、前記第2導電膜をキャパシタ上部電極とし、前記第1導電体膜をキャパシタ下部電極とする工程を有し、
    少なくとも前記第1導電膜のエッチングは、前記半導体基板を300℃〜600℃に加熱しつつ、臭化水素と酸素のみを外部から供給するとともに酸素の濃度が80〜90%の雰囲気内で行なわれることを特徴とする半導体装置の製造方法。
  2. 前記マスクは、ハードマスクからなることを特徴とする請求項1に記載の半導体装置の製造方法。
JP2002054439A 2002-02-28 2002-02-28 半導体装置の製造方法 Expired - Fee Related JP4865978B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002054439A JP4865978B2 (ja) 2002-02-28 2002-02-28 半導体装置の製造方法
US10/372,275 US7763545B2 (en) 2002-02-28 2003-02-25 Semiconductor device manufacturing method
EP03251108A EP1341220A3 (en) 2002-02-28 2003-02-25 Semiconductor device manufacturing method
TW092104069A TWI233160B (en) 2002-02-28 2003-02-26 Semiconductor device manufacturing method
KR1020030012277A KR100851480B1 (ko) 2002-02-28 2003-02-27 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002054439A JP4865978B2 (ja) 2002-02-28 2002-02-28 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2003258203A JP2003258203A (ja) 2003-09-12
JP2003258203A5 JP2003258203A5 (ja) 2005-08-11
JP4865978B2 true JP4865978B2 (ja) 2012-02-01

Family

ID=27678568

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002054439A Expired - Fee Related JP4865978B2 (ja) 2002-02-28 2002-02-28 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US7763545B2 (ja)
EP (1) EP1341220A3 (ja)
JP (1) JP4865978B2 (ja)
KR (1) KR100851480B1 (ja)
TW (1) TWI233160B (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006243579A (ja) * 2005-03-07 2006-09-14 Seiko Epson Corp 電気光学装置及びその製造方法、並びに電子機器
JP2007036126A (ja) * 2005-07-29 2007-02-08 Fujitsu Ltd 半導体装置とその製造方法
KR100965502B1 (ko) 2005-08-15 2010-06-24 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치와 그 제조 방법
JP2016037625A (ja) * 2014-08-06 2016-03-22 キヤノン株式会社 エッチング方法及び液体吐出ヘッド用基板の製造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
KR970004484B1 (ko) 1993-12-16 1997-03-28 금성일렉트론 주식회사 반도체 소자의 ldd mosfet 제조방법
JP3460347B2 (ja) * 1994-03-30 2003-10-27 松下電器産業株式会社 半導体装置の製造方法
JP3122579B2 (ja) 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
JP2956485B2 (ja) * 1994-09-07 1999-10-04 日本電気株式会社 半導体装置の製造方法
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
JP2953974B2 (ja) * 1995-02-03 1999-09-27 松下電子工業株式会社 半導体装置の製造方法
JP3108374B2 (ja) * 1996-01-26 2000-11-13 松下電子工業株式会社 半導体装置の製造方法
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
TW365691B (en) * 1997-02-05 1999-08-01 Samsung Electronics Co Ltd Method for etching Pt film of semiconductor device
JP3024747B2 (ja) * 1997-03-05 2000-03-21 日本電気株式会社 半導体メモリの製造方法
KR100252889B1 (ko) 1997-11-14 2000-04-15 김영환 백금식각방법
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
EP1048064A1 (en) * 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6265318B1 (en) * 1998-01-13 2001-07-24 Applied Materials, Inc. Iridium etchant methods for anisotropic profile
KR100269323B1 (ko) * 1998-01-16 2000-10-16 윤종용 반도체장치의백금막식각방법
US6027861A (en) * 1998-03-20 2000-02-22 Taiwan Semiconductor Manufacturing Company VLSIC patterning process
KR100319879B1 (ko) * 1998-05-28 2002-08-24 삼성전자 주식회사 백금족금속막식각방법을이용한커패시터의하부전극형성방법
JP3367600B2 (ja) * 1998-06-08 2003-01-14 シャープ株式会社 誘電体薄膜素子の製造方法
JP2000133633A (ja) 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
KR100300053B1 (ko) 1998-09-30 2001-10-19 김영환 반도체소자의자기정렬콘택홀형성방법
JP4051785B2 (ja) * 1998-11-24 2008-02-27 ソニー株式会社 プラズマエッチング法
JP2001036024A (ja) 1999-07-16 2001-02-09 Nec Corp 容量及びその製造方法
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
US6504203B2 (en) * 2001-02-16 2003-01-07 International Business Machines Corporation Method of forming a metal-insulator-metal capacitor for dual damascene interconnect processing and the device so formed
JP2003257942A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
TWI233160B (en) 2005-05-21
US7763545B2 (en) 2010-07-27
KR100851480B1 (ko) 2008-08-08
EP1341220A2 (en) 2003-09-03
JP2003258203A (ja) 2003-09-12
US20030162401A1 (en) 2003-08-28
EP1341220A3 (en) 2007-08-01
TW200305215A (en) 2003-10-16
KR20030071553A (ko) 2003-09-03

Similar Documents

Publication Publication Date Title
US8956881B2 (en) Method of manufacturing a FeRAM device
US7518173B2 (en) Semiconductor device having ferroelectric capacitor and its manufacture method
JP2004095861A (ja) 半導体装置及びその製造方法
JP4690234B2 (ja) 半導体装置及びその製造方法
JP4746357B2 (ja) 半導体装置の製造方法
JP2003347517A (ja) 半導体装置及びその製造方法
KR100832683B1 (ko) 반도체 장치의 제조 방법
KR100848240B1 (ko) 반도체 장치 및 그 제조 방법
US20070042541A1 (en) Semiconductor device and its manufacture method
JP4580284B2 (ja) 強誘電体素子の製造方法
US20030235944A1 (en) Semiconductor device manufacturing method
JP2004087807A (ja) 半導体装置及びその製造方法
JP4865978B2 (ja) 半導体装置の製造方法
US20040185579A1 (en) Method of manufacturing semiconductor device
JP4657545B2 (ja) 半導体装置の製造方法
JP2004241679A (ja) 半導体装置及びその製造方法
JP2017123388A (ja) 半導体装置及びその製造方法
JP2008159952A (ja) 半導体装置の製造方法
WO2007063602A1 (ja) 半導体装置とその製造方法
JP2008159951A (ja) 半導体装置の製造方法
JP2007242730A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050125

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050125

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070717

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090323

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090818

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090827

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20091002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111012

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141118

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4865978

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees