JP4219091B2 - 半導体材料のエッチング方法 - Google Patents

半導体材料のエッチング方法 Download PDF

Info

Publication number
JP4219091B2
JP4219091B2 JP2000526966A JP2000526966A JP4219091B2 JP 4219091 B2 JP4219091 B2 JP 4219091B2 JP 2000526966 A JP2000526966 A JP 2000526966A JP 2000526966 A JP2000526966 A JP 2000526966A JP 4219091 B2 JP4219091 B2 JP 4219091B2
Authority
JP
Japan
Prior art keywords
etching
composition
hfc
etchant
gwp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000526966A
Other languages
English (en)
Other versions
JP2002500443A (ja
Inventor
デミン,ティモシー・アール
リュリ,マシュー・エイチ
ファシムッラ,モハメッド・エイ
Original Assignee
アライドシグナル インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル インコーポレイテッド filed Critical アライドシグナル インコーポレイテッド
Publication of JP2002500443A publication Critical patent/JP2002500443A/ja
Application granted granted Critical
Publication of JP4219091B2 publication Critical patent/JP4219091B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
技術分野
本発明は、広くは、ドライエッチングおよびドライクリーニングに関する。更に詳しくは、本発明は、半導体材料のプラズマエッチング用のヒドロフルオロカーボン族(family)の使用に関する。
【0002】
【従来の技術】
半導体デバイスは、ウェハーに対する四つの基本操作、すなわち、層形成(layering)、パターン形成(patterning)/エッチング、ドーピングおよび熱処理の反復利用によって製造される。ウェハーの表面からの材料の選択的除去が関わるパターン形成/エッチングは本明細書において特に関心がある。更に詳しくは、材料は、特定の幾何学模様でウェハーの表面にフォトレジストを選択的に塗布し、その後、ウェハーを化学エッチング剤にさらすことにより除去される。フォトレジストによって覆われるウェハーの面はエッチング剤から保護される一方で、エッチング剤にさらされたままの面はエッチング剤によってある程度除去される。従って、材料は、フォトレジストによって形成される幾何学模様で除去される。「エッチング」という用語はこの選択的な材料除去を意味する。
【0003】
基本的に二つのタイプのエッチング、すなわち、ウェトエッチングとドライエッチングがある。ウェットエッチングは、ウェハーの表面上の保護されていない材料と反応し、可溶生成物を形成し、その後、その生成物が溶媒で除去される液体腐食性試薬の使用が関わる。ドライエッチングとは、材料との反応による化学的、あるいは表面衝撃による物理的のいずれか一方でウェハーの保護されていない表面から材料を除去する高エネルギー気体分子、イオン、および/またはラジカルを用いるプラズマ法およびプラズマ発生法を意味する。このプラズマプロセスは、半導体の製造において用いられる反応器または他の装置の表面を浄化するためにも用いることができる。従って、本明細書におけるエッチングおよびエッチング剤に関する議論は浄化用途にも当てはまる。プラズマプロセスに一般に適用されるその他の用語には、プラズマエッチング、リアクティブイオンエッチング、高密度プラズマエッチング、イオンミリング(milling)、リアクティブイオンミリング、化学イオンビームエッチングおよびスパッターエッチングが挙げられる。最近、産業界は、ドライエッチングがもつより洗練されたパターン形成制御のためにウェットエッチングから後退し、ドライエッチングに移行してきた。
【0004】
半導体産業がドライエッチングに移行するにつれて、用いられるエッチング化学薬品と生成する副生物の使用および処分に対する環境懸念が増大している。エッチングにおいて、エッチング化学薬品の一部は反応しない傾向があり、種々の反応副生物に加えて反応からの排出物中に存在する。こうしたエッチング化学薬品の排出は、ますます監視されるようになりつつある。
【0005】
例えば、四弗化炭素、ヘキサフルオロエタン、パーフルオロプロパン、三弗化窒素、ビス(トリフルオロメチル)ジスルフィド、六弗化硫黄などの従来のエッチング化学薬品、および四弗化炭素とヘキサフルオロエタンなどのそれらの過弗化副生物は、比較的高い地球温暖化係数(grobal warming potential)をもっている。地球温暖化係数(本明細書においてGWP)とは、COを基準にした地球温暖化に寄与する化合物の能力を意味する。GWPは、化合物の推定大気圏寿命および赤外線吸収能力に基づく計算値である。GPWは、100年の計画対象期間が最も一般的である異なる計画対象期間にわたって気候変動に関する政府間パネル(IPCC)によって報告されている。本明細書において用いられるGPWは、特に指定しないかぎり100年の計画対象期間に基づいている。政府および国際条約は、こうした高GPW化学薬品の排出を減少させるか、あるいは無くすことをますます要求しつつある。これらの制約の結果として、半導体製造用のこれらの化学薬品の商業的利用可能性が困難になりつつある。
【0006】
プラズマエッチングおよびクリーニングに関わる環境懸念を軽減する試みは現在のところ以下の四つのカテゴリーに分類される。(1)より少ない量のGWP化学薬品を大気に排出するようにエッチングおよび/またはクリーニングプロセスを最適化する。(2)エッチングおよび/またはクリーニング化学薬品を大気排出なしに適切に処分または再使用できるように、それらを排気流からリサイクルする。(3)未反応エッチングおよび/またはクリーニング化学薬品排出物を燃焼し特にGWPに対して無害にする化学反応または燃焼炉(burn boxes)によって排気流中のエッチングおよび/またはクリーニング化学薬品を減少させる。(4)エッチングおよび/またはクリーニング用の種々の代替化学薬品を選択するか、あるいは開発する。第1のアプローチに関して、排出を減少させるエッチングプロセスおよびクリーニングプロセスの最適化における進歩が継続的になされつつある。しかし、その進歩は排出量を許容可能なレベルに減少させることを可能とするものではない。リサイクルおよび化学反応または燃焼炉による低減化アプローチに関して、排出量を減少させることができるものの、実施コストは比較的高く、そして再び言うが、減少レベルはなお不適切な傾向がある。従って、従来のエッチング化学薬品を環境に受け入れられる代替品に置き換えることは考慮されるべき解決方法と思われる。
【0007】
【発明が解決しようとする課題】
従って、許容できる性能をもつが副生物または未反応化学薬品を大気に排出する時に地球温暖化の著しい原因にならないエッチング組成物が必要とされている。本発明は、特にこの要求を満足させるものである。
【0008】
(発明の詳細な説明及び好適な実施態様)
本発明はプラズマエッチングに有用であり、かつ環境に受容されるフルオロ炭化水素(HFC)化合物群を特定する。さらに具体的には、HFC化合物群は次の構造式で表わされる化合物を包含する。
CxHyFz (1)
上式中、x=3,4または5、2、xy、y+z=2x+2である。本明細書では、これらの化合物を「エッチング剤化合物」と呼ぶ。ペルフルオロカーボン/ペルフルオロ化学品(PFC)またはその他の高度にフッ素化された化合物がその反応性の故に好まれる通常のエッチング化合物と異なり本発明では、二個以上の水素原子を有するHFCエッチング剤化合物がその低いGWT とその選択性の増強の故に好ましい。本明細書で用いる「選択性」とは、ほかの材料と異なる食刻速度で一つの材料を食刻するエッチング能をいう。
【0009】
本発明の一態様は既に特定したHFCエッチング剤化合物の一つ以上を使用するエッチング方法を提供する。好ましい実施例では、この方法は材料をプラズマエッチング条件下で少なくとも構造式(1)のエッチング剤を含むエッチング組成物の影響下に置くことからなる。
【0010】
本発明の別の態様は既に特定したHFCエッチング剤化合物の一種類以上を含む組成物を提供する。好ましい実施例では、組成物は構造式(1)のエッチング剤化合物とエッチング剤化合物のエッチング特性を増幅または修飾するためのエッチング剤化合物以外の材料からなる。
【0011】
本発明の種々の態様はエッチング剤化合物として構造式(1)のHFC化合物を利用することに関する。好ましい実施例では、HFCエッチング剤化合物は水素に対するフッ素の比が1.5(z1.5y)以上であるようにフッ素化されている。より好ましくは、HFCエッチング剤化合物はフッ素化プロパン(x=3)であり、さらに好ましくは、エッチング剤化合物はヘキサフルオロプロパンおよびペンタフルオロプロパンの異性体から選択され、zはそれぞれ6および5である。さらにもっと好ましい実施例では、エッチング剤化合物は1,1,1,3,3,3−ヘキサフルオロプロパン(「HFC−236fa」と呼ぶ)、或いは1,1,1,3,3,−ペンタフルオロプロパン(「HFC−245fa」と呼ぶ)である。最も好適なエッチング剤化合物はHFC−245faである。
【0012】
本発明に利用するHFCエッチング剤化合物は(構造式(1)に説明したように)フッ素/炭素比が通常のエッチング剤よりも小さい2/1であるが、これらのエッチング剤化合物は、それにもかかわらず、多種類の材料を食刻することが判明した。実際、以下の実施例に示すように、フッ素/炭素比が5/3であるHFC−245faはフッ素/炭素比が2/1であるさらにフッ素化された同等化合物であるHFC−236faよりも急速に或る材料を食刻する。
【0013】
HFCエッチング剤化合物で食刻される材料の例は、非限定的に、金属または半金属の炭化物、ホウ化物およびケイ化物、例えばタングステンケイ化物などの誘電体、金属または半金属の酸化物、窒化物、例えば二酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、ホウ素リンケイ酸ガラス、フルオロケイ酸ガラスなどの絶縁体、インジウム燐化物などの第III−V族半導体化合物、ケイ素、多結晶シリコン、タングステン、チタン、バナジウム、ゲルマニウム、ケイ素−ゲルマニウムなどの元素材料、およびこれらの二種類以上の組み合わせを包含する。
【0014】
本発明に使用されるHFCエッチング剤化合物はプラズマエッチング条件における意図的な変化に応答して良好な選択性を表わすことも見出された。より具体的には、HFCエッチング剤化合物の相対的に高い水素含量は、或る条件下において、特定材料の表面上で重合を促進し、ほかの材料の表面上では重合を促進しない傾向があることが見出された。この重合はエッチングを遅延させ、従がって結果的に重合表面に対する非重合表面の選択性をもたらす。
【0015】
以下の実施例に詳述するように、本発明に使用されるHFCエッチング剤化合物の選択性に及ぼす操作条件の役割を決定するための試験を実施した。例えばHFC−245faでは、相対的に高いバイアスおよび電力と低い圧力がSiに対するSiOの選択性を増加させる傾向があり、相対的に低いバイアスと高い圧力および電力がSiに対するSiOの選択性を増加させる傾向があることが判明した。HFC−236faでは、相対的に低いバイアスおよび電力と高圧がSiに対するSiOの選択性を増加させる傾向があり、相対的に低い圧および電力と媒体バイアスがSiに対するSiOの選択性を増加させる傾向がある。実施例に示すように、その他の操作変数の組み合わせもこれらおよびほかの材料に対する選択性を付与するのに用いることができる。さらに、これらの結果は選択性が条件を意図的に変えることで達成されることの指標としてのみ提起されるものであり、特定の選択性を最適化するものと解釈すべきでないことに留意する必要がある。実際に、当業者はプロセス条件および使用装置を最適化することでこれらの選択性およびその他の選択性を改善できるはずである。
【0016】
このように、Siに対するSiO、Siに対するSiO、その他の間の著しい選択性が圧力、バイアスおよび電力などの操作パラメータを変化させることによって達成された。このような選択性の重要性は、例えばザン(Zhang)らの「フルオロカーボン高密度プラズマ、VII。選択的SiO/Si高密度プラズマ食刻プロセスに関する研究(Fluorocarbon High Density Plasmas. VII.Investigation of Selective SiO−to−Si High Density Plasma Etch Processes)」(J.Vac.Sci.Technol.A14(4),Jul/Aug1996)に考察がある。好ましい実施例では、エッチングプロセスはSi/SiOおよび/またはSiO/Siの食刻比が約2/1以上、より好ましくは約5/1以上、さらに好ましくは7/1以上で行なわれる。
【0017】
良好な反応性と高い選択性に加え、本発明に使用されるHFCエッチング剤化合物は通常のエッチング剤に比べ比較的低いGWPを有する傾向がある。通常のエッチング剤を本発明に使用される極めて好ましいエッチング化合物であるHFC−245faと以下に比較する。
エッチング剤 GWP
HFC−245fa 790
SF 23900
6500
CF 9200
CHF 11700
HFC−245faが通常使用されるエッチング剤に比べ、著しく低いGWPを有することは明白である。この低いGWPとこれらHFCエッチング剤化合物、特にHFC−245faの一般的な環境受容性はエッチングプロセスの見地からばかりでなく、一時的な放散が避けられない調合、取り扱い上の見地からも重要である。好ましい実施例では、本発明になる組成のエッチング組成物はGWPが6500以下、より好ましくは1000以下、さらに好ましくは800以下の一種類以上のHFCエッチング剤化合物からなる。
【0018】
HFCエッチング剤化合物をその一般的に低いGWPで使用することは、ペルフルオロ化エッチング剤または他の高度にフッ素化されたエッチング剤を使用する傾向にある従来技術のエッチング組成物に比べ、エッチング組成物のGWPへの寄与は比較的少ない。本明細書で使用する組成物の「GWP」とは、組成物成分のGWPの加重平均を意味する。例えば、GWP1000の化合物60重量%とGWP500の化合物40重量%とからなる組成物はGWP800を有する。エッチング組成物のGWPは約5000以下が好ましく、より好ましくは3000以下、さらに好ましくは1500以下、もっと好ましくは1000以下、さらにもっと好ましくは750以下、それ以上に好ましくは200以下である。
【0019】
本発明に使用されるHFCエッチング剤化合物は比較的少量の未反応材料が反応流出液中に存在するような効率で消費される傾向がある。さらに、プラズマエッチング中、これらの化合物は解離および/または反応して低GWP流出物を生成する。流出物のGWPとは、流出液成分のGWPの加重平均を意味する。好ましい実施例では、本発明に使用されるより多く水素化されたエッチング剤化合物はHFC化合物またはほかの高度にフッ素化された通常のエッチング剤化合物よりも高GWP反応性生物、例えばCなどを生成しにくい。流出物は、好ましくは約25モル%以下のC、より好ましくは約15モル%以下、さらに好ましくは約5モル%以下のCを含有する。流出物は、好ましくは約5000以下のGWP、より好ましくは約3000以下、さらに好ましくは約1500以下、もっと好ましくは約1000以下、さらにもっと好ましくは約750以下、それ以上に好ましくは約200以下のGWPを有する。
【0020】
従がって、HFCエッチング剤化合物の比較的低GWPとそれらの流出物の比較的低いGWPの故に、本発明になる組成物は地球温暖化の寄与に関する危惧が少なく、かつ複雑で高価な捕獲/リサイクルおよび/または除去システムを組み込むことなく利用される。
【0021】
本発明に使用されるHFCエッチング剤化合物は市場で入手可能であり、或いは市販されている出発原料から容易に合成することができる。例えば、ジャクソン(Jackson)らに発行された米国特許第5,594,159号は水と(CFCHCOHとの反応によってヘキサフルオロプロパン(HFC−236fa)の合成方法を教示する。ラオ(Rao)に発行された米国特許第5,545,774号は炭素触媒に担持された三価クロムの存在下でフッ化水素とヘキサクロロプロパンとの反応によるHFC−236faの生成プロセスを教示する。さらに、ファンデルプイ(Van Der Puy)らに発行された米国特許第5,574,192号はフルオロ炭化水素のフッ素化によるHFC−245faの合成を教示する。HFC−245faはAl1ied Signal Inc.(Morristown,NJ)から市販されている。
【0022】
エッチング組成物中のエッチング剤化合物の量は所望する程のエッチング能を付与する充分な量でなければならない。殆どの用途につき、エッチング組成物中のエッチング剤化合物の濃度は約0.1容積%以上であると思われる。エッチング組成物中のエッチング剤化合物は好ましくは、容積で1%以上、より好ましくは約5%以上含有するはずである。
【0023】
本発明の組成物は一種類以上の構造式(1)のエッチング剤化合物に加えて他の成分を含有することもある。これらの付加成分は食刻を補助するために用いられ、エッチング剤変更子の場合には、付加的な選択性を付与することができる。エッチング剤変更子およびその効果は従来から周知である(例えば、前掲のザンらを参照)。従がって、当業者は所望の選択性を達成するために用いるエッチング剤変更子の量と種類を決定することができる。
【0024】
例えば、水素および/または水素含有化合物である炭化水素およびフルオロ炭化水素をエッチング剤化合物に添加することは特定の材料だけの表面上で重合を促進させる傾向がある。類似な方法で、窒素のエッチング組成物への添加がプラズマ反応の化学を変え、それによって特定表面での重合が増加する。酸素などその他の化合物はSiOなどの酸素含有材料の食刻速度を増加する傾向がある。
【0025】
好ましい実施例では、エッチング剤変更子はO、H、N、HFCエッチング剤化合物と異なるC〜CHFC化合物からなる群から選択された化合物およびF−CO−[(CR−CO]−F、F−CO−R−CO−Fからなる群から選択された構造式を持つフッ素化カルボニル化合物からなり、式中
m=1,2,3,4および5、
n=0または1、
&RはH、FまたはCを表わし、a=1または2、b+c=2a+1、
はCR=CR、RC=CまたはC≡Cを表わしR4〜7はH、FまたはCを表わし、a=1または2、b+c=2a+1であり、
、RおよびR4〜7の少なくとも一つは水素または水素含有物である。
より好ましくは、エッチング剤変更子はO、H、N、CH、CF、ペンタフルオロエタンおよびテトラフルオロエタンの異性体である。
【0026】
エッチング/クリーニング組成物に存在するエッチング剤変更子の量は材料間に所望の付加的選択性を付与する十分な量でなければならない。殆どの用途につき、効果的な付加的選択性はエッチング剤変更子の容積で約0.1から約99%、より好ましくは約5から約60%を含むエッチング組成物で得られるはずである。
【0027】
その他の成分をエッチング化合物に包含させることが好ましいこともある。例えば、エッチング剤化合物をアルゴン、ヘリウムまたはそれらの混合物などの同伴キャリアガスを用いてエッチング装置内に導入することが利益になることもあり、特に蒸気圧が低いエッチング組成物に揮発性を付与するのに利益がある(別の選択肢として、液供給システムが低蒸気圧エッチング組成物を導入するのに用いられる)。さらに、イオン化エネルギーの高いガス、例えばアルゴン、ヘリウム、またはそれらの混合物などをプロセスに添加して、材料表面のイオン衝撃を増強させることが望ましいこともある。
【0028】
エッチング/クリーニング組成物に存在する同伴キャリアガスまたは高エネルギーガスの量は所望の揮発性または付加イオン濃度を付与する十分な量でなければならない。殆どの用途では、適宜な結果はキャリアガスまたは高エネルギーガスを容積で約0.1から約99%、好ましくは約40から約95%を含むエッチング組成物で得られるはずである。
【0029】
本発明のエッチング法を効果あらしめるために、通常の装置および方法を用いることができる。一般的に、基板のエッチングでは一種類以上のウェーハをチャンバー内に配置し、真空ポンプで減圧する。プラズマは適切なエッチング組成物を低圧チャンバー内に導入し、次にチャンバー内容物にRF場を適用することで発生する。この状態では、エッチング組成物の励磁された種が表面に衝撃を与える物理的方法か、または真空チャンバーからポンプ輸送されるSiFなどの揮発性物質を生成させる化学的方法のいずれかによって除去される材料を攻撃する。所望量の材料がウェーハ表面から除去されたときにプロセスは停止する。プラズマエッチングの概要はケルン(W.Kern)らの「薄膜プロセス(Thin Film process(1978))」およびマノス(B.M.Manos)ら編、「プラズマエッチングと手引き(Plasma Etching & Introduction)」(1989)に記述がある。
【0030】
周知なように、プラズマエッチングプロセスの操作条件は多くがあり、これらが得られた結果に影響を及ぼす。これらの条件には、例えばプラズマエッチングの種類(例えば、反応性イオンエッチング、プラズマエッチング、高密度エッチング)、エッチング組成物の流速、ウェーハ温度、圧力、電力、時間およびバイアスなどが含まれる。これらのパラメータの相互関係はハードウェアの構築および食刻される材料の関数である。プラズマエッチングおよびクリーニングの当業者は所望の材料を成功裏に食刻させるようにこれらのパラメータを変化させることができる。例示的な操作条件には、分あたり約1から約500標準立方センチ(sccm)のエッチングガス流速、ウェーハ温度約−200から200℃、圧力約0.05から約500mトル、電力約20から5000ワット、ウェーハまたはエッチングされる物品を横切るバイアス電圧の範囲約1から約500DCボルトが含まれる。エッチング時間は除去される材料の所望量に左右され、数秒から数時間の範囲である。HFC−245faおよびHFC−236faを使用する場合、効果的なエッチングはECRエッチング装置を用いてエッチングガス流速約1から約200sccm、好ましくは約5から約100sccm、圧力約0.05から約50mトル、好ましくは約0.1から約20mトル、ウェーハ温度約0から約150℃、好ましくはほぼ室温、電力約100から約1000ワット、好ましくは約300から700ワット、バイアス約10から約200DCボルト、好ましくは約25から175DCボルトで達成されることが判明した。
【0031】
(実施例)
以下の実施例は本発明の実施を例示するものである。
実施例1〜8
実施例1〜8は異なる操作条件下における種々の材料に及ぼすHFC−245faのエッチング能を示す。具体的な条件と平均食刻速度は以下の表1に示す。
Figure 0004219091
ロックロードPlasma Therm ECRで行なった。実験のそれぞれにおいて、単結晶シリコン、多結晶シリコン(肉厚10,000Å、被覆Siウェーハ上LPCVD蒸着)、二酸化ケイ素(肉厚5000Å、Siウェーハ上LPCVD蒸着)および窒化ケイ素(肉厚5000Å、Siウェーハ上LPCVD蒸着)のウェーハをチャンバー内に配置した。質量流量計を用いてアルゴン20sccmと共にHFC−245faの連続流2sccmの導入した。ウェーハ温度は殆ど室温であった。それぞれの試験において、5分後にエッチングを停止し除去された材料の量を楕円偏光計によって各ウェーハ上の位置7点で測定し、食刻速度を材料除去量の平均に基づいて計算した。結果を表1に示す。
【表1】
Figure 0004219091
これらの試験は半導体製作に通常使用される材料がHFC−245faを使用するプラズマエッチングによって効果的に食刻されることを示す。さらに、この結果はエッチングが広範囲の条件下において成功裏に行なわれること、これらの条件を変化させて所望の結果が得られることを示している。例えば、Siに対するSiOの選択性約0.9から約7.3、Siに対するSiOの選択性約0.8から約7.5、多結晶Siに対するSiO選択性約1から約5.7がDCバイアスを約60から約120に、圧力を約1から約5mトルに、電力を約350から約700ワットに変えることによって得られた。
実施例9〜18
実施例9〜18は異なる操作条件下における種々の材料に及ぼすHFC−236faのエッチング能を示す。具体的な条件と平均食刻速度は以下の表2に示す。試験は実施例1〜8に説明したのと同じ方法で行なわれた。
【表2】
Figure 0004219091
上記のように、これらの試験は半導体製作に通常使用される材料がHFC−236faを使用するプラズマエッチングによって効果的に食刻されることを示す。さらに、この結果はエッチングが広範囲の条件下において成功裏に行なわれること、これらの条件を変化させて所望の結果が得られることを示している。例えば、Siに対するSiOの選択性約1.09から約2.3、Siに対するSiOの選択性約0.8から約2.1、多結晶Siに対するSiOの選択性約1から約7.2がDCバイアスを約34から約135に、圧力を約1から約5mトルに、電力を約350から約700ワットに変えることによって得られた。

Claims (6)

  1. 半導体材料のエッチング方法において、
    エッチングしようとする材料を収容しているエッチング装置にエッチング組成物を導入し、
    前記材料をプラズマエッチング条件下においてエッチング組成物で処理し、その際、前記エッチング組成物が、1000以下の地球温暖化係数(GWP)を有する1,1,1,3,3,3−ヘキサフルオロプロパン又は1,1,1,3,3,−ペンタフルオロプロパンを含み、そして
    25モル%以下の地球温暖化反応生成物Cを有する排出物を前記エッチング装置から排出させ、そのエッチング組成物によりエッチングしようとする材料のSiO対Siのエッチング選択比が2:1以上であることを特徴とする、前記方法。
  2. 前記エッチング組成物が約750以下のGWPを有する、請求項1に記載のエッチング方法。
  3. SiO対Siのエッチング比が約7:1以上である、請求項1に記載のエッチング方法。
  4. 半導体材料をエッチングする方法において、
    エッチングしようとする材料を収容しているエッチング装置にエッチング組成物を導入し、
    前記材料をプラズマエッチング条件下においてエッチング組成物で処理し、その際、エッチング組成物が、
    (A)1,000以下の地球温暖化係数(GWP)を有する1,1,1,3,3,3−ヘキサフルオロプロパン又は1,1,1,3,3,−ペンタフルオロプロパンと、
    (B)前記エッチング化合物のプラズマエッチング特性を改善または修正するための前記エッチング化合物とは異なる、O、H、N、CH、He、Ar、C〜Cヒドロフルオロカーボンからなる群から選択される第2の材料とを含み、そして
    25モル%以下の地球温暖化反応生成物Cを有する排出物を前記エッチング装置から排出させ、そのエッチング組成物によりエッチングしようとする材料のSiO対Siのエッチング選択比が2:1以上であることを特徴とする、前記方法。
  5. 前記エッチング組成物が約750以下のGWPを有する、請求項4に記載のエッチング方法。
  6. SiO対Siのエッチング比が約7:1以上である、請求項4に記載のエッチング方法。
JP2000526966A 1997-12-31 1998-12-23 半導体材料のエッチング方法 Expired - Fee Related JP4219091B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/001,755 US6120697A (en) 1997-12-31 1997-12-31 Method of etching using hydrofluorocarbon compounds
US09/001,755 1997-12-31
PCT/US1998/027426 WO1999034427A1 (en) 1997-12-31 1998-12-23 Hydrofluorocarbon etching compounds with reduced global warming impact

Publications (2)

Publication Number Publication Date
JP2002500443A JP2002500443A (ja) 2002-01-08
JP4219091B2 true JP4219091B2 (ja) 2009-02-04

Family

ID=21697686

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000526966A Expired - Fee Related JP4219091B2 (ja) 1997-12-31 1998-12-23 半導体材料のエッチング方法

Country Status (6)

Country Link
US (2) US6120697A (ja)
EP (1) EP1042799A1 (ja)
JP (1) JP4219091B2 (ja)
KR (1) KR100630483B1 (ja)
AU (1) AU2010899A (ja)
WO (1) WO1999034427A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002313776A (ja) * 2001-04-19 2002-10-25 Toshiba Corp ドライエッチング方法及びドライエッチング装置
US6699795B1 (en) 2002-03-15 2004-03-02 Cypress Semiconductor Corp. Gate etch process
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7915175B1 (en) 2004-06-25 2011-03-29 Cypress Semiconductor Corporation Etching nitride and anti-reflective coating
KR100669560B1 (ko) * 2005-05-16 2007-01-15 주식회사 하이닉스반도체 반도체 소자의 도전 배선 형성 방법
JP4627682B2 (ja) * 2005-05-27 2011-02-09 株式会社日立ハイテクノロジーズ 試料作製装置および方法
US7771917B2 (en) * 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
KR100695431B1 (ko) * 2005-06-22 2007-03-15 주식회사 하이닉스반도체 반도체 소자의 컨택홀 형성방법
US20070037100A1 (en) * 2005-08-09 2007-02-15 International Business Machines Corporation High aspect ratio mask open without hardmask
DE102007009913B4 (de) * 2007-02-28 2012-10-18 Advanced Micro Devices, Inc. Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
JP2008235562A (ja) * 2007-03-20 2008-10-02 Taiyo Nippon Sanso Corp プラズマcvd成膜装置のクリーニング方法
US20090263729A1 (en) * 2008-04-21 2009-10-22 Micron Technology, Inc. Templates for imprint lithography and methods of fabricating and using such templates
JP5520974B2 (ja) * 2012-01-25 2014-06-11 東京エレクトロン株式会社 被処理基体の処理方法
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9627533B2 (en) 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2904403A (en) * 1957-06-07 1959-09-15 Du Pont Preparation of if5
GB1060708A (en) * 1964-07-07 1967-03-08 Allied Chem Process for producing iodine pentafluoride
DE2823981A1 (de) * 1978-06-01 1979-12-13 Hoechst Ag Verfahren zur herstellung von carbonyl-difluoriden
US4181678A (en) * 1978-09-15 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force Symmetrical perfluoroalkylene oxide α,ω-diacyl fluorides
US4181679A (en) * 1978-10-24 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force ω-Iodoperfluoroalkylene oxide acyl fluorides
US4260649A (en) * 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4314875A (en) * 1980-05-13 1982-02-09 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4498953A (en) * 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US4836887A (en) * 1987-11-23 1989-06-06 International Business Machines Corporation Chlorofluorocarbon additives for enhancing etch rates in fluorinated halocarbon/oxidant plasmas
US5059728A (en) * 1990-06-29 1991-10-22 Allied-Signal Inc. Partially fluorinated alkanes having a tertiary structure
US5158617A (en) * 1991-04-18 1992-10-27 Allied-Signal Inc. Method of cleaning using hydrochlorofluorocarbons having 3 to 5 carbon atoms
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
JP3198538B2 (ja) * 1991-05-24 2001-08-13 ソニー株式会社 ドライエッチング方法
US5254176A (en) * 1992-02-03 1993-10-19 Tokyo Electron Limited Method of cleaning a process tube
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
US5338394A (en) * 1992-05-01 1994-08-16 Alliedsignal Inc. Method for etching indium based III-V compound semiconductors
JP3109253B2 (ja) * 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
JP2514545B2 (ja) * 1992-09-21 1996-07-10 大阪ダイヤモンド工業株式会社 超砥粒砥石の再生方法
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5573654A (en) * 1994-03-04 1996-11-12 Minnesota Mining And Manufacturing Company Process for making hexafluoropropane and perfluoropropane
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
KR100240375B1 (ko) * 1994-07-11 2000-01-15 크리스 로저 에이치 1,1,1,3,3-펜타플루오로프로판의 제조방법
US5545774A (en) * 1994-12-08 1996-08-13 E. I. Du Pont De Nemours And Company Process for the manufacture of 1,1,1,3,3,3-hexafluoropropane
US5594159A (en) * 1995-04-13 1997-01-14 E I Du Pont De Nemours And Company Producing CF3 CH2 CF3 and/or CF3 CH═CF2 by the conversion of alpha-hydroperfluoroisobutyric acid compounds
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
JPH10223614A (ja) * 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス

Also Published As

Publication number Publication date
KR100630483B1 (ko) 2006-10-02
JP2002500443A (ja) 2002-01-08
WO1999034427A1 (en) 1999-07-08
AU2010899A (en) 1999-07-19
US6428716B1 (en) 2002-08-06
US6120697A (en) 2000-09-19
EP1042799A1 (en) 2000-10-11
KR20010024828A (ko) 2001-03-26

Similar Documents

Publication Publication Date Title
US6635185B2 (en) Method of etching and cleaning using fluorinated carbonyl compounds
JP4219091B2 (ja) 半導体材料のエッチング方法
TW387018B (en) Plasma etch with trifluoroacetic acid and derivatives
KR101435490B1 (ko) 드라이 에칭제 및 드라이 에칭 방법
JP2020533809A (ja) 多積層をエッチングするための化学的性質
TWI703206B (zh) 腔室清潔及半導體蝕刻氣體
TWI431686B (zh) Etching gas
EP1788120A1 (en) Removal of titanium nitride with xenon difluoride
JPH01161838A (ja) プラズマ・エツチング方法
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
WO2020195559A1 (ja) ドライエッチング方法及び半導体デバイスの製造方法
WO1998019332A1 (fr) Procede de gravure a sec
JP3358808B2 (ja) 基板から有機物質を灰化する方法
EP0854502A2 (en) Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
JPH0794469A (ja) ドライエッチング方法
WO1999034428A1 (en) Method of etching and cleaning using interhalogen compounds
JP4215294B2 (ja) ドライエッチング方法
Lehmann et al. Reactive sputter etching of Al in BCl3
JP2002141330A (ja) 基板の構造化方法
Aryusook Trifluoroiodomethane as an environmentally friendly gas for water patterning by plasma etching process
JP2011176292A (ja) ドライエッチング剤
Tao Non-perfluorocompound chemistries for plasma etching of dielectrics
JPH10199866A (ja) ドライエッチング法
JPH04323823A (ja) ドライエッチング方法
JP2001077084A (ja) 地球温暖化係数の低いガスを用いたシリコン酸化膜のエッチング法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050404

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080422

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081022

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees