JP2020533809A - 多積層をエッチングするための化学的性質 - Google Patents

多積層をエッチングするための化学的性質 Download PDF

Info

Publication number
JP2020533809A
JP2020533809A JP2020530736A JP2020530736A JP2020533809A JP 2020533809 A JP2020533809 A JP 2020533809A JP 2020530736 A JP2020530736 A JP 2020530736A JP 2020530736 A JP2020530736 A JP 2020530736A JP 2020533809 A JP2020533809 A JP 2020533809A
Authority
JP
Japan
Prior art keywords
etching
layer
gas
hydrofluorocarbon
disclosed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020530736A
Other languages
English (en)
Other versions
JP7000575B2 (ja
Inventor
ペン・シェン
継一郎 占部
継一郎 占部
二郎 横田
二郎 横田
ニコラス・ゴッセ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2020533809A publication Critical patent/JP2020533809A/ja
Application granted granted Critical
Publication of JP7000575B2 publication Critical patent/JP7000575B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Magnetic Heads (AREA)
  • Weting (AREA)

Abstract

3D NANDフラッシュメモリの製造方法が開示される。この方法は、ハードマスク層上にハードマスクパターンを形成するステップと;1,1,1,3,3,3−ヘキサフルオロプロパン(C3H2F6)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C3H2F6)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(C3HF7)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−C3HF7)からなる群から選択されるヒドロフルオロカーボンエッチングガスを使用してハードマスク層に対して交互層を選択的にプラズマエッチングすることによって交互層中にアパーチャーを形成するためにハードマスクパターンを使用するステップとを含み、第1のエッチング層は第2のエッチング層のものとは異なる材料を含む。【選択図】図1b

Description

関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2017年8月31日出願の米国特許出願第15/692,247号の利益を主張する。
本発明の概念は、半導体デバイスの製造方法、特に多積層をエッチングすることが可能なエッチングガスを使用する3D NANDアーキテクチャの製造方法に関する。
酸化ケイ素及び窒化ケイ素(SiO/SiN)は、NAND型フラッシュメモリにおけるトンネル及び電荷捕獲のための重要な組成物である。メモリアプリケーションにおいて半導体基板から酸化ケイ素及び窒化ケイ素膜を除去するために、エッチングが適用される。3D NANDなどのメモリアプリケーション(例えば、米国特許出願公開第2011/0180941号明細書を参照のこと)に関して、多積層SiO/SiNのエッチングは重要である。垂直NANDメモリ(例えば、3D NAND)をエッチングするための課題は、可能な限り高い類似のエッチング速度で酸化物及び窒化物層をエッチングする方法である。さらに、エッチング構造は、ボーイング(bowing)及び低いラインエッジラフネス(LER)を生じずに、直線垂直のプロフィールを有する(高アスペクト比)べきである。
従来のエッチング化学的性質は、20:1より高いアスペクト比を有するホール又はトレンチなどの特徴を提供することが不可能であり得る。高アスペクト比(例えば、>20:1)は、プラズマエッチングプロセスの間の側壁上の少なくとも不十分なエッチ抵抗ポリマー堆積のため、より新しいアプリケーション(例えば、3D NAND)において必要である。従来のエッチングガスとしては、オクタフルオロシクロブタン(cC)、ヘキサフルオロ−1,3−ブタン(C)、テトラフルオロメタンCF、ジフルオロメタンCH、フルオロメタンCHF及び/又はフルオロホルムCHFが含まれる。これらのヒドロフルオロカーボンエッチングガスは、xが0.01〜1の範囲であり、且つyが0.01〜4の範囲である側壁ポリマー−C−を生じ得る。これらの側壁ポリマーは、エッチングに影響されやすくなり得る。Standaert et al(J.Vac.Sci.Technol.A,22,53,2004)を参照のこと。当該技術分野において、ヒドロフルオロカーボン中でC:Fの比率が増加すると選択性及びポリマー堆積速度が増加することは周知である(すなわち、C>C>CF)。例えば、Hungらの米国特許第6387287号明細書を参照のこと。結果として、従来のエッチング化学的性質によると、エッチングされたパターンは直線垂直ではあり得ず、そしてエッチング構造は、ボーイング、寸法の変化、パターン崩壊及び/又は荒さの増加を示し得る。ボーイングは、エッチング構造のボーイングを導く非常に狭いエッチングスペースでのイオン偏向に起因し得る。Bogart et al,J.Vac.Sci.Technol A,18,197(2000)を参照のこと。現在のアプリケーション(例えば、コンタクトエッチング又は3D NAND)に関して必要とされる高アスペクト比(すなわち、最高200:1)を有するエッチングプロフィールを達成するために、ボーイングを最小化することが重要である。
3D NANDアプリケーションにおいて高アスペクト比エッチング構造の平滑な側壁を得ることに関して、SiO及びSiNの類似の高エッチング速度を有するエッチングガスを見出すことは困難である。
3D NANDアプリケーションにおいて、SiO層及びSiN層の交互層の積層は、例えば、コンタクトホール、階段状コンタクトなどの基板及び半導体構造上に形成され、そしてSiO層及びSiN層の交互層を通してエッチングされる。エッチングガスとしてヒドロフルオロカーボンを使用してSiO及び/又はSiN層をエッチングする試みがなされた。
Andersonら(国際公開第2014/070838A号パンフレット)は、Cヒドロフルオロカーボンによるケイ素含有層のエッチング方法を開示する。
Umezakiら(米国特許第9,017,571号明細書)は、二酸化ケイ素、窒化ケイ素、多結晶質ケイ素、非晶質ケイ素及び炭化ケイ素などのエッチングケイ素材料のための1,3,3,3−テトラフルオロプロペン(トランス−及びシス−)並びに添加剤ガスの使用を開示する。
Wangら(米国特許第6,183,655号明細書)は、他の非酸化物層(例えば、SiN層)に対して高い選択性(少なくとも20:1)を有するSiOをエッチングするためのフルオロプロピレン及びヒドロフルオロカーボンの使用を開示する。フルオロプロピレン及びヒドロフルオロカーボンは、1,1,1,2,3,3,3−C及び1,1,2,2,3,3,3−CHFを含む。
Merryら(米国特許第6,015,761号明細書)は、マイクロ波活性化プラズマ源を用いて、二酸化ケイ素、未ドープシリケートガラス、ホホシリケート(phophosilicate)ガラス(PSG)、ボロホスホシリケートガラス(BPSG)、窒化ケイ素を含む誘電体層をエッチングするためのヒドロフルオロカーボンの使用を開示する。Cはフルオロカーボンガスの例にリストされるが、Cを使用するいずれのエッチング実施例も開示されていない。
Arleoら(米国特許第5,176,790号明細書)は、基礎の金属層へのプラズマエッチングによって絶縁層を通して1つ又はそれ以上のビアを形成することを開示する。エッチングガスには、非環式3〜6炭素フッ化炭化水素C及びCHFが含まれるが、C及びCHFによるエッチング実施例は示されていない。絶縁材料は、金属層上に形成された、堆積された酸化ケイ素、窒化ケイ素化合物又は酸窒化ケイ素化合物を含む。
Chungら(米国特許第9,460,935号明細書)は、半導体デバイスの製造方法を開示する。この方法は、基板上に積層された第1のエッチング層及び第2のエッチング層を形成することと、化合物を含むエッチングガスから生成したプラズマ下で、第1のエッチング層及び第2のエッチング層をエッチングすることによって凹部領域を形成することとを含む。この化合物は、1,1,1,2,3,3−ヘキサフルオロプロパン、2,2,2−トリフルオロエタン−1−チオール、1,1,1,3,3−ペンタフルオロプロパン、1,1,2,2,3−ペンタフルオロプロパン及び1,1,2,2−テトラフルオロ−1−ヨードエタン、2,3,3,3−テトラフルオロプロペン及び1,1−ジフルオロエテンの少なくとも1種を含む。
Demminら(米国特許第6,120,697号明細書及び米国特許第6,428,716号明細書)は、式C(式中、x=3、4又は5;2x≧z≧y;及びy+z=2x+2)を有する少なくとも1種のエッチング液化合物によるエッチング方法を開示する。圧力、バイアス及び力のような種々の運転パラメーターによって、Siに対するSiO及びSiに対するSiOの有意な選択性が達成された。加えて、このエッチングプロセスは、Siに対するSiO及び/又はSiに対するSiOのエッチング比が約2:1以上であるような条件下で実行される。
Kwonらは、ヒドロフルオロカーボン(CH)がSiONのエッチングのために使用されたことを開示する(Kwon et al.,“Infinite Etch Selectivity during Etching of SiON with an Extreme Ultraviolet Resist Pattern in Dual−Frequency Capacitively Coupled Plasmas”,Journal of the Electrochemical Soc.(2010)157,D21−D28)。
Kareckiらは、高アスペクト比SiOエッチングのためのヒドロフルオロカーボンの使用を開示する(Karecki et al.,“Use of Novel Hydrofluorocarbon and lodofluorocarbon Chemistries for a High Aspect Ratio Via Etch in a High Densily Plasma Etch Tool”,Journal of the Electrochemical Soc.(1998)145,4305−4312)。
Nappaら(米国特許第5,414,165号明細書)は、1,1,1,3,3,3−ヘキサクロロプロパン(すなわち、CCl3CH2CCl3又はCCl又はHCC−230fa)とフッ化水素との反応による1,1,1,3,3,3−ヘキサフルオロプロパン(すなわち、CF3CH2CF3又はC又はHFC−236fa)の製造プロセスを開示する。
Raoら(米国特許第8,053,611号明細書)は、HF、Cl及び式CXCCl=CClX(式中、それぞれのXは独立してF又はClである)の少なくとも1種のハロプロペンの出発材料から得られるクロロフルオロカーボン(CFC)及びヒドロクロロフルオロカーボン(HCFC)を利用するCの合成方法を開示する。
Nappaら(米国特許第6,281,395号明細書)は、0.01ppm未満のペルフルオロイソブチレン(PFIB)を含有するCHFの製造プロセスを開示する。
Chiuら(米国特許第7,205,444号明細書)は、不飽和フルオロカーボンを除去するためにUV光塩素化剤を使用する1,1,1,3,3,3−ヘキサフルオロプロパンの精製プロセスを開示する。
非従来的なヒドロフルオロカーボンエッチングガスを使用して3D NANDアプリケーションにおいてSiOの層及びSiN層の複数の交互層をエッチングすることはなお不足している。多層3D NANDメモリのエッチングなどの特定の用途に関して、SiNに対する高い選択性を有するSiOエッチングに関する従来技術が多数あるにもかかわらず、選択性を有さずに両SiO及びSiN層(又はSiO及びp−Si層の両方)をエッチングすることが可能なエッチングガスを有することは重要である。言い換えると、3D NANDメモリにおいて高アスペクト比ホールの平滑な側壁を得ることに関して、SiO及びSiNの類似の高いエッチング速度を有するエッチングガスを見出すことが課題である。
したがって、SiO/SiNの類似の高エッチング速度を維持しながら、SiO/SiN層をエッチングすることが可能なエッチングガスを見出す必要がある。
基板上の第1のエッチング層及び第2のエッチング層の交互層と、交互層上のハードマスク層とを有する3D NANDフラッシュメモリの製造方法が開示される。開示された方法は、ハードマスク層上にハードマスクパターンを形成するステップと、1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)からなる群から選択されるヒドロフルオロカーボンエッチングガスを使用してハードマスク層に対して交互層を選択的にプラズマエッチングすることによって交互層中にアパーチャーを形成するためにハードマスクパターンを使用するステップとを含み、第1のエッチング層は第2のエッチング層のものとは異なる材料を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・ハードマスク層が、非晶質炭素又はドープド炭素のCVD又はスピンオン堆積層、ケイ素含有スピンオンマスク、及び炭素含有スピンオンマスクからなる群から選択される;
・ハードマスク層が非晶質炭素(a−C)層である;
・ハードマスク層がドープド炭素層である;
・ハードマスク層が、ケイ素含有スピンオンマスク層である;
・ハードマスク層が、炭素含有スピンオンマスク層である;
・交互層の堆積が、基板上に第1のエッチング層を堆積すること、第1のエッチング層上に第2のエッチング層を堆積すること、第2のエッチング層上に別の第1のエッチング層を堆積すること、並びに交互に及び繰り返し、第1及び第2のエッチング層を堆積して、基板上に第1及び第2のエッチング層の複数の対を含む積層構造を形成することを含む;
・交互層が、酸化ケイ素、窒化ケイ素、SiOCH、SiON、Si(式中、a>0;b、c、d及びe≧0)又はその組合せの層を含む;
・交互層が、酸素原子、窒素原子、炭素原子、水素原子又はその組合せを含み、交互層がケイ素含有膜である;
・交互層が、酸化ケイ素の層及び窒化ケイ素の層を含む;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層を含む;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層である;
・第1のエッチング層が、酸化ケイ素層を含む;
・第1のエッチング層が、窒化ケイ素層を含む;
・第2のエッチング層が、酸化ケイ素層を含む;
・第2のエッチング層が、窒化ケイ素層を含む;
・交互層が、ハードマスク層から選択的にエッチングされる;
・交互層が、a−C層から選択的にエッチングされる;
・交互層が、ドープド炭素層から選択的にエッチングされる;
・交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、a−C層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ドープド炭素層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、a−C層から選択的にエッチングされる;
・酸化ケイ素層が、ドープド炭素層から選択的にエッチングされる;
・酸化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、a−C層から選択的にエッチングされる;
・窒化ケイ素層が、ドープド炭素層から選択的にエッチングされる;
・窒化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・ヒドロフルオロカーボンエッチングガスから生成したプラズマを用いる単一プロセスによって第1及び第2のエッチング層の交互層をエッチングする;
・ヒドロフルオロカーボンエッチングガスが、高エッチング速度で第1及び第2の両エッチング層をエッチングする;
・ヒドロフルオロカーボンエッチングガスが、高エッチング速度で酸化ケイ素層及び窒化ケイ素層の両方をエッチングする;
・ヒドロフルオロカーボンエッチングガスが、高エッチング速度で酸化ケイ素層及び窒化ケイ素層を選択的にエッチングしない;
・第1及び第2の両エッチング層をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:2〜約2:1の範囲である;
・第1及び第2の両エッチング層をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:1である;
・酸化ケイ素層及び窒化ケイ素層の両方をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:2〜約2:1の範囲である;
・酸化ケイ素層及び窒化ケイ素層の両方をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:1である;
・ヒドロフルオロカーボンエッチングガスが、少なくとも1つの水素を含有する;
・ヒドロフルオロカーボンエッチングガスが、少なくとも1つの水素を含有する、3炭素(C)ヒドロフルオロカーボン(m>0、n>0であるC)化合物である;
・ヒドロフルオロカーボンエッチングガスが、少なくとも1つの水素を含有する、C有機フッ素化合物である;
・ヒドロフルオロカーボンエッチングガスが、1,1,1,3,3,3−ヘキサフルオロプロパン(C)である;
・ヒドロフルオロカーボンエッチングガスが、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)である;
・ヒドロフルオロカーボンエッチングガスが、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)である;
・ヒドロフルオロカーボンエッチングガスが、1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)である;
・ヒドロフルオロカーボンエッチングガスが、プラズマ下でケイ素含有膜と反応し、揮発性副産物を形成する;
・副産物を除去する;
・凝縮を避けるため、プラズマエッチング用のヒドロフルオロカーボンエッチングガスを加熱する;
・ヒドロフルオロカーボンエッチングガスの望ましい流量を維持するために、プラズマエッチング用のヒドロフルオロカーボンエッチングガスを加熱する;
・ヒドロフルオロカーボンエッチングガスに酸素含有ガスを添加する;
・酸素含有ガスが、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せからなる群から選択される;
・酸素含有ガスがOである;
・アパーチャーを形成する前に、ヒドロフルオロカーボンエッチングガスと酸素含有ガスとを混合し、混合物を製造する;
・酸素含有ガスとは別にヒドロフルオロカーボンエッチングガスを導入する;
・連続的に酸素含有ガスを導入し、断続的にヒドロフルオロカーボンエッチングガスを導入する;
・酸素含有ガスが、ヒドロフルオロカーボンエッチングガス及び酸素含有ガスの全体積の約0.01%v/v〜約99.9%v/vを含む;
・酸素含有ガスが、ヒドロフルオロカーボンエッチングガス及び酸素含有ガスの全体積の約0.01%v/v〜約10%v/vを含む;
・ヒドロフルオロカーボンエッチングガスに不活性ガスを添加する;
・ヒドロフルオロカーボンエッチングガスに不活性ガスを添加しない;
・不活性ガスが、He、Ar、Xe、Kr、Ne及びNからなる群から選択される;
・不活性ガスがArである;
・不活性ガスがXeである;
・不活性ガスがKrである;
・アパーチャーを形成する前に、ヒドロフルオロカーボンエッチングガスと不活性ガスとを混合し、混合物を製造する;
・不活性ガスとは別にヒドロフルオロカーボンエッチングガスを導入する;
・連続的に不活性ガスを導入し、断続的にヒドロフルオロカーボンエッチングガスを導入する;
・不活性ガスが、ヒドロフルオロカーボンエッチング化合物の蒸気及び不活性ガスの全体積の約0.01%v/v〜約99.9%v/vを含む;
・不活性ガスが、ヒドロフルオロカーボンエッチング化合物の蒸気及び不活性ガスの全体積の約90%v/v〜約99%v/vを含む;
・基板がSiウエハである;
・基板が結晶質ケイ素層である;
・約1:1〜約50:1のアスペクト比を有する交互層におけるアパーチャーを製造する;
・約1:1〜約200:1のアスペクト比を有する交互層におけるアパーチャーを製造する;
・5%未満のボーイングを有する交互層においてアパーチャーを製造する;
・2%未満のボーイングを有する交互層においてアパーチャーを製造する;
・約5nm〜約200nmの範囲の直径を有するアパーチャーを製造する;
・約100nmの直径を有するアパーチャーを製造する;
・約50nmの直径を有するアパーチャーを製造する;
・アパーチャーを製造する;
・アパーチャーが3D NANDアパーチャーである;
・アパーチャーがコンタクトホールである;
・アパーチャーが3D NANDコンタクトホールである;
・アパーチャーが階段状コンタクトである;
・約1:1〜約200:1のアスペクト比を有するチャネルホールを製造する;
・5%未満のボーイングを有する交互層においてチャネルホールを製造する;
・2%未満のボーイングを有する交互層においてチャネルホールを製造する;
・約5nm〜約200nmの範囲の直径を有するチャネルホールを製造する;
・約100nmの直径を有するチャネルホールを製造する;
・約40nmの直径を有するチャネルホールを製造する;
・約1:1〜約200:1のアスペクト比を有するコンタクトホールを製造する;
・約5nm〜約200nmの範囲の直径を有するコンタクトホールを製造する;
・5%未満のボーイングを有する交互層においてコンタクトホールを製造する;
・2%未満のボーイングを有する交互層においてコンタクトホールを製造する;
・約100nmの直径を有するコンタクトホールを製造する;
・約40nmの直径を有するコンタクトホールを製造する;
・ヒドロフルオロカーボンエッチングガスに第2のエッチングガスを添加することによって選択性を改善する;
・第2のエッチングガスが、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、FNO、SO及びそれらの組合せからなる群から選択される;
・アパーチャーを形成する前に、ヒドロフルオロカーボンエッチングガスと第2のエッチングガスとを混合する;
・第2のエッチングガスとは別にヒドロフルオロカーボンエッチングガスを導入する;
・ヒドロフルオロカーボンエッチングガスに約0.01%v/v〜約99.99%v/vの第2のエッチングガスを添加する;
・RF力を適用することによってプラズマを活性化する;
・約25W〜約20,000Wの範囲のRF力によってプラズマを活性化する;
・エッチング圧力が約1mTorr〜約10Torrの範囲である;
・エッチング圧力が30mTorrである;
・約0.1sccm〜約1slmの範囲の流量においてヒドロフルオロカーボンエッチングガスを導入する;
・約−196℃〜約500℃の範囲の温度で基板を維持する;
・約−120℃〜約300℃の範囲の温度で基板を維持する;
・約−100℃〜約50℃の範囲の温度で基板を維持する;
・約−10℃〜約40℃の範囲の温度で基板を維持する;並びに
・四重極型質量分析計、発光分光計、FTIR又は他のラジカル/イオン測定ツールによるプラズマ下でヒドロフルオロカーボンエッチングガスを測定する。
1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)からなる群から選択される有機フッ素化合物を含むヒドロフルオロカーボンエッチング化合物も開示される。開示された有機フッ素エッチング化合物は、次の態様の1つ又はそれ以上を含む:
・有機フッ素エッチングガスが少なくとも1つの水素を含有する;
・有機フッ素エッチングガスがCである;
・有機フッ素エッチングガスがiso−Cである;
・有機フッ素エッチングガスがCHFである;
・有機フッ素エッチングガスがiso−CHFである;
・約95体積%〜約99.999体積%の範囲の純度を有する;
・約10パーツパートリリオン〜約5体積%の微量ガス不純物を含む;
・微量ガス不純物が水を含む;
・微量ガス不純物がCOを含む;
・微量ガス不純物がNを含む;
・微量ガス不純物がCFC及びHCFCを含む;
・有機フッ素エッチングガスが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・iso−CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・CFC及びHCFCが、1,1,1,3,3,3−ヘキサクロロプロパン、1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エン、1,1,1,3,3−ペンタフルオロ−2−クロロプロペン、1,1,1,3−テトラフルオロ−3−クロロプロペン、1,1−ジフルオロ−2,2−ジクロロエテン、トリクロロフルオロメタン、1,1,1,3,3−ペンタフルオロ−3−クロロプロパン、1,1,1,3,3−ペンタフルオロプロパン及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンである;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサクロロプロパンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−2−クロロプロペンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3−テトラフルオロ−3−クロロプロペンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1−ジフルオロ−2,2−ジクロロエテンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のトリクロロフルオロメタンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−3−クロロプロパンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロプロパンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサクロロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−2−クロロプロペンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3−テトラフルオロ−3−クロロプロペンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1−ジフルオロ−2,2−ジクロロエテンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のトリクロロフルオロメタンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−3−クロロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンを含有する;
・CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エンを含有する;
・iso−CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エンを含有する;
・微量ガス不純物が、開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含む;
・開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンが、x=3及びy=2である場合、z≠6であり、且つx=3及びy=1である場合、z≠7であることを条件として、x=0〜3、y=0〜8、z=0〜8である式Cを有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−Cを含有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCHFを含有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−CHFを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCHFを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−CHFを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−Cを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−CHFを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−Cを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCHFを含有する;並びに
・有機フッ素エッチングガスが20ppmw未満の水分含有量を有する。
表記法及び命名法
次の記載及び請求項全体で、特定の略語、記号及び用語が使用され、そしてこれには次のものが含まれる:
本明細書で使用される場合、不定冠詞「1つの(a)」又は「1つの(an)」は1つ又はそれ以上を意味する。
本明細書で使用される場合、本文又は請求項中の「約(about)」又は「約(around)」又は「約(approximately)」は、明記された値の±10%を意味する。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、垂直な側壁が、基板に対して直角なマスクされた特徴の縁部に沿って形成されるように、イオン衝撃が垂直方向での化学反応を促進するプラズマエッチングプロセス(すなわち、ドライエッチングプロセス)を示す(Manos and Flamm,Plasma Etching an Introduction,Academic Press,Inc.1989 pp.12−13)。エッチングプロセスによって、基板中に、ビア、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、キャパシタホール、コンタクトホールなどのアパーチャーが製造される。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、ケイ素含有膜のスタック上のパターン化されたハードマスク層などの非平面構造をエッチングすることを意味する。
「パターンウエハ」又は「ウエハ」という用語は、基板上のケイ素含有膜の積層と、パターンエッチングのために形成されたケイ素含有膜の積層上のパターン形成されたハードマスク層とを有するウエハを示す。
「マスク」という用語は、エッチングに抵抗する層を示す。ハードマスク層は、エッチングされる層の上に位置し得る。
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下に位置するエッチングに抵抗する層を意味する。
「アスペクト比」という用語は、トレンチの幅(又はビアの直径)に対するトレンチ(又はビア)の高さの比率を意味する。
「ボーイング」という用語は、パターン形成された直径より大きい直径を有し、それによって凸部又は外見上丸型の構造を形成するアパーチャーを示す。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、あるいは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「コンタクトホール」という用語は、ゲートなどの電極、供給源及びトランジスタのドレインを金属配線層に接続するために導電性金属で充てんされるであろう誘電体膜で製造されたホールを示す。
「階段状コンタクト」という用語は、電極層の階段形積層に形成されたコンタクトホールを示す。
「独立して」という用語は、R基の記載に関して使用される場合、対象のR基が、同一又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同一R基のいずれかの追加の種に対しても独立して選択されることも意味するものとして理解されるべきである。例えば、Mが原子であり、xが2又は3である式MR (NR(4−x)において、2個又は3個のR基は、互いに、又はR若しくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
本明細書中、「アパーチャー」、「ビア」、「ホール」及び「トレンチ」という用語は、半導体構造中に形成された開口部を示すために交換可能に使用され得ることに留意されたい。
本明細書中、「エッチング化合物」及び「エッチングガス」という用語は互換的に使用されてもよいことに留意されたい。エッチング化合物がエッチングガスに相当するか、又は関連し得ること、及びエッチングガスがエッチング化合物を意味し得ることは理解される。
本明細書で使用される場合、「NAND」という略語は、「ネガティブAND(Negative AND)」又は「ノットAND(Not AND)」ゲートを示し;「2D」という略語は、平面基板上の2次元のゲート構造を示し;「3D」という略語は、ゲート構造が垂直方向に積層される3次元又は垂直ゲート構造を示す。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、開示された特定の分子を識別するために提供される。
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p−Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1〜6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5〜1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書中、次の記載中のSiNは、Si含有層を表すために使用されてもよい。好ましくは、酸化ケイ素は、nが0.5〜1.5の範囲であり、かつmが1.5〜3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOは、Si含有層を表すのに使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1〜6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、As及び/又はGeなどのドーパントも含み得る。
本明細書で使用される場合、「又は」という用語は、排他的「又は」ではなく、包含的「又は」を意味するように意図される。すなわち、他に指定がない限り、又は文章から明白でない限り、「XはA又はBを利用する」とは、本位の包括的な置換のいずれも意味するように意図される。すなわち、XがAを利用する場合;XがBを利用する場合;又はXがA及びBの両方を利用する場合、「XはA又はBを利用する」は、上記の例のいずれにおいても満足するものである。
請求項中の「含む」とは、その後識別される請求項の要素が非排他的なリストであり、すなわち、他のいずれかが追加的に含まれ得、且つ「含む」の範囲内に残り得ることを意味するオープン移行用語である。本明細書中、「含む」は、より限定的な移行用語「から本質的になる」及び「からなる」を必ず含むものとして定義され;したがって、「含む」は、「から本質的になる」又は「からなる」によって置換され得、且つ「含む」の明白に定義された範囲内に残り得る。
請求項中の「提供する」とは、何かを供給し、補給し、入手可能にさせ、又は調製することを意味するように定義される。このステップは、請求項中にそれとは反対の特別な用語がない条件で実行され得る。
いずれか及び全ての本明細書に列挙される範囲は、「包括的」という用語が使用されるかどうかにかかわらず、それらの終点(すなわち、x=1〜4又はxが1〜4の範囲であるとは、x=1、x=4及びx=その間のいずれかの数を含む)を含む。
本発明の特性及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
図1aは、3D NANDスタック中の模範的な層の断面図である。 図1bは、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。 図1cは、模範的なアパーチャーの側壁上に堆積されたポリマー層を有する、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。 図1dは、側壁ボーイングを有する、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。 図2は、堆積及びエッチング試験で適用された模範的な反応器システムの模範的な断面側面図である。 図3は、エッチングガスとしてCを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図4は、エッチングガスとしてCを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。 図5は、エッチングガスとしてiso−Cを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図6は、エッチングガスとしてiso−Cを使用する、酸素流量に対する、SiN、又はa−C、ポリ−Siに対するSiOの選択性を示すグラフである。 図7は、エッチングガスとしてCHFを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図8は、エッチングガスとしてCHFを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。 図9は、エッチングガスとしてiso−CHFを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図10は、エッチングガスとしてiso−CHFを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。 図11は、プラズマエッチングのためのパターン形成されたウエハのSEMイメージである。 図12aは、C(CF−CH−CF)及びOによって図11のパターン形成されたウエハをプラズマエッチングした直後にマークを付けられたエッチング構造の深さを有するSEMイメージである。 図12bは、C(CF−CH−CF)及びOによって図11のパターン形成されたウエハをプラズマエッチングした直後にマークを付けられたエッチング構造の幅を有するSEMイメージである。
3D NANDフラッシュメモリの製造方法、より特に、ケイ素含有膜をプラズマエッチングして半導体構造を製造する方法が開示される。この構造は、限定されないが、SiO/SiN(ONON)チャネルホール、ONONトレンチ、階段状コンタクトホールなどを含む。この構造は、5〜200nmの最高臨界寸法(CD)を有し得る。開示された方法は、高いアスペクト比を有し、ボーイングが少ないか、存在せず、且つ構造上のポリマー堆積の適切な量を有する構造を製造する。
本明細書中、3D NANDフラッシュメモリは、基板上の第1のエッチング層及び第2のエッチング層の交互層と、交互層上のハードマスク層とを有し得る。開示された方法は、半導体構造に関連するいずれかの3D NAND技術を製造するために適切であり得る。
開示された方法は、i)ハードマスク層上にハードマスクパターンを形成するステップと、ii)ヒドロフルオロカーボンエッチングガスを使用してハードマスク層に対して交互層を選択的にプラズマエッチングすることによって、交互層中にアパーチャーを形成するためにハードマスクパターンを使用するステップとを含む。ヒドロフルオロカーボンエッチングガスは、1,1,1,3,3,3−ヘキサフルオロプロパンガス(C)、1,1,2,2,3,3−ヘキサフルオロプロパンガス(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパンガス(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパンガス(iso−CHF)からなる群から選択される。開示された方法において、第1のエッチング層は、第2のエッチング層のものとは異なる材料を含む。
開示された方法はまた、基板上に第1のエッチング層及び第2のエッチング層の交互層を堆積するステップと、交互層上にハードマスク層を堆積するステップとを含み得る。
第1のエッチング層及び第2のエッチング層の交互層は、化学蒸着(CVD)又は原子層堆積(ALD)に適切な堆積前駆体を使用して基板上に堆積される。交互層は、基板上に第1のエッチング層を堆積し、第1のエッチング層上に第2のエッチング層を堆積し、第2のエッチング層上に別の第1のエッチング層を堆積し、そして第1及び第2のエッチング層を交互に、及び繰り返し堆積して、基板上に第1及び第2のエッチング層の複数の対を含む積層構造を形成することによって形成される。
より特に、基板上に交互層を堆積する方法は、次のステップ:i)少なくとも1つの基板がその中に配置された反応器に第1のケイ素含有前駆体(例えば、酸素含有オルガノシラン)の蒸気を導入し、蒸着法、例えば、プラズマ強化CVD又はプラズマ強化ALDを使用して、第1のケイ素含有前駆体の少なくとも一部を少なくとも1つの基板上に堆積して、第1のエッチング層が形成されることを含む、基板上に第1のエッチング層を堆積するステップと、ii)反応器に第2のケイ素含有前駆体(例えば、窒素含有オルガノシラン)の蒸気を導入し、蒸着法を使用して、第2のケイ素含有前駆体の少なくとも一部を第1のエッチング層上に堆積して、第2のエッチング層が形成されることを含む、第1のエッチング層上に第2のエッチング層を堆積するステップと、iii)第1及び第2のエッチング層を交互に、及び繰り返し堆積して、基板上に第1及び第2のエッチング層の複数の対を含む積層構造を形成するステップとを含む。(Dussarratらの米国特許第9,371,338号明細書を参照のこと)。第1及び第2のエッチング層の複数の対は、48対、96対、128対、264対又はさらに多くであってもよい。
交互層は、少なくとも1対の第1及び第2のエッチング層を含む。交互層は、96対の第1のエッチング層及び第2のエッチング層を含んでもよい。代わりに、交互層は、128対の第1のエッチング層及び第2のエッチング層を含んでもよい。別の選択肢において、交互層は、264対の第1のエッチング層及び第2のエッチング層を含んでもよい。さらに別の選択肢において、交互層は、264対より多くの第1のエッチング層及び第2のエッチング層を含んでもよい。
交互層は、酸化ケイ素、窒化ケイ素、SiOCN、SiON、Si(式中、a>0;b、c、d及びe≧0である)又はそれらの組合せの層を含むケイ素含有膜であってよい。ケイ素含有膜は、酸素原子、窒素原子、炭素原子又はその組合せをさらに含んでもよい。
ケイ素含有膜は、SiO第1のエッチング層及びSiN第2のエッチング層、又はその逆であってよい。ケイ素含有膜は、少なくとも1対のSiO及びSiN層を含有する。ケイ素含有膜は、96対のSiO及びSiN層を含有し得る。代わりに、ケイ素含有膜は、128対のSiO及びSiN層を含有し得る。別の選択肢において、ケイ素含有膜は、264対のSiO及びSiN層を含有し得る。さらに別の選択肢において、ケイ素含有膜は、264対より多くのSiO及びSiN層を含有し得る。
ハードマスク層は、限定されないが、非晶質炭素(a−C)又はドープド炭素のCVD又はスピンオン堆積層、ケイ素含有スピンオンハードマスク(SOH)、炭素含有スピンオンハードマスク、フォトレジスト又はそれらの組合せであり得る。ドープド炭素は、ホウ素(B)、タングステン(W)、チタン(Ti)、ジルコニウム(Zr)、アルミニウム(Al)又はその組合せでドープされた炭素であり得る。
ハードマスク層は、CVD又はスピンオン堆積法によって交互層上に形成される。ハードマスク層は、a−Cのスピンオン堆積層であってよい。有機化合物、例えば、ポリアミド及びポリスルホンによって形成された反射防止層はa−C層上に堆積され、そしてフォトレジスト層が反射防止層上に堆積される。フォトレジスト層パターンは、曝露(例えば、フォトリソグラフィックプロセス)を実行し、そしてフォトレジスト層上で現像プロセスを実行することによって形成される。a−C層パターンは、エッチングマスクとしてフォトレジスト層パターンを使用し、反射防止層及びa−C層をエッチングすることによって形成される。a−C層パターンはハードマスク層パターンを形成する。次いで、エッチングマスクとしてハードマスク層パターンを使用して、交互層をハードマスク層(すなわち、a−C層)からエッチングし、相互層中にアパーチャーを含有するパターンを形成する(Dussarratらの米国特許出願公開第2013/0109198号明細書を参照のこと)。アパーチャーは、基板を暴露するように第1のエッチング層及び第2のエッチング層の交互層を穿孔するコンタクトホール又はチャネルホールを含む。
開示された方法は、開示されたヒドロフルオロカーボンエッチングガスから生じたプラズマを用いる単一プロセスによって第1及び第2のエッチング層の交互層をエッチングする方法を提供する。開示されたヒドロフルオロカーボンエッチングガスは、例えば100nm/分〜600nm/分又はそれ以上の高エッチング速度で第1及び第2の両エッチング層をエッチングする。第1のエッチング層が酸化ケイ素層であり、且つ第2のエッチング層が窒化ケイ素層であるか、又はその逆である場合、開示されたヒドロフルオロカーボンエッチングガスは、例えば、100nm/分〜600nm/分又はそれ以上の高エッチング速度で、且つ約1:1の選択性で酸化ケイ素層及び窒化ケイ素層を通してエッチングする。
開示されたヒドロフルオロカーボンエッチングガスは、少なくとも1つの水素を含有する、3炭素(C)ヒドロフルオロカーボン(m>0、n>0であるC)化合物である。開示されたヒドロフルオロカーボンエッチングガスは、−20℃〜室温(すなわち、25℃)の範囲の沸点を有し、エッチング液として使用するために適切である。これらのエッチングガスは、不燃性であり、無毒であり、且つ商業的に利用可能である。それらの構造式、CAS番号及び沸点は表1に含まれる。当業者は、提供されたCAS番号を使用して、これらのガスの合成方法を得てもよいことを認識するであろう。
Figure 2020533809
開示されたヒドロフルオロカーボンエッチングガスは、フォトレジスト層、マスク層、エッチングストップ層及びデバイスチャネル材料に対する高い選択性から無限の選択性を提供する。開示されたヒドロフルオロカーボンエッチングガスは、SiO及びSiNなどのケイ素含有層に対する選択性を提供しない。開示されたヒドロフルオロカーボンエッチングガスは、高アスペクト比構造におけるプロフィール歪みを提供しない。例えば、それらは、3D NANDアプリケーションにおけるような1:1〜200:1の範囲のアスペクト比を有する。開示されたヒドロフルオロカーボンエッチングガスは、3D NANDアプリケーションにおいて高アスペクト比アパーチャー又はホールの平滑側面を得ることに関して、SiO及びSiNの類似のエッチング速度を提供する。得られるアパーチャーは、チャネルホール及びコンタクトホールエッチングアプリケーションにおいて1:1〜50:1の範囲のアスペクト比、好ましくは、約1:1〜約200:1の範囲のアスペクト比を有し得る。
開示されたヒドロフルオロカーボンエッチングガスは、エッチングと同時に、アパーチャーの側壁でポリマー不動態化層を堆積させる。エッチングプロセスにOを添加することによって、ポリマー不動態化層の厚さは、側面プロフィール変形を避けるために制御されてもよい。ポリマー不動態化層も平滑な側壁を提供し、3D NAND積層におけるアパーチャーの一番下でボーイングがわずかにあるか、又はボーイングがなく、且つ変形がわずかにあるか、又は変形がない。必要であれば、ポリマー不動態化層は、当該技術分野において周知の乾式又は湿式エッチング化学によって容易に除去又はクリーニング又は研磨され得る。
開示されたヒドロフルオロカーボンエッチングガスは、得られるパターン形成された高アスペクト比構造において、交互層(例えば、ケイ素含有膜)の間の約1:1の選択性を提供する。開示されたヒドロフルオロカーボンエッチングガスは、得られるパターン形成された高アスペクト比構造において、交互層に対してマスク材料の無限の選択性を提供する。開示されたヒドロフルオロカーボンエッチングガスは、得られるパターン形成された高アスペクト比構造において、チャネル領域に対して少ない損傷を提供するか、又は損傷を提供しない。さらに、開示されたヒドロフルオロカーボンエッチングガスは、ボーイングを減少するか、又は得られるパターン形成された高アスペクト比構造における少ないボーイングを提供するか、若しくはボーイングを提供しない。加えて、開示されたヒドロフルオロカーボンエッチングガスは、エッチングプロセスの間に得られる高アスペクト比構造の側面上にポリマー堆積を示す。結果として、開示されたプロセスは、得られる高アスペクト比構造において、チャネル領域に対して少ない損傷を提供するか、又は損傷を提供しない。開示されたヒドロフルオロカーボンエッチングガスは、一プロセスでSiO/SiNの交互層を通してエッチングし、垂直エッチングプロフィールが得られる。SiO/SiNの交互層を通してのエッチングの選択性は、約1:2〜約2:1、好ましくは約1:1の範囲である。
開示されたヒドロフルオロカーボンエッチングガスは、95%v/vより高い、好ましくは、99.99%v/vより高い純度、より好ましくは、99.999%v/vより高い純度の有機フッ素化合物が提供される。開示されたヒドロフルオロカーボンエッチングガスは、5体積%未満の微量ガス不純物を含有し、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppmw未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。不純物成分と触媒を含む選択的反応物とを反応させることによって、精製された生産物を製造してもよい。CO、CO、N、HO、HF、HS、SO、ハロゲン化物並びにCFC及びHCFC化合物を含む他の炭化水素又はヒドロハロカーボンの除去のために、周知の標準的な精製技術を使用してもよい。
半導体アプリケーションにおいて、エッチング組成物に存在する塩素含有成分が塩素汚染を含むエッチングプロセスにおける有害作用を有し得ると考えられる。塩素汚染は、エッチングガスパイプライン、エッチングチャンバー、エッチングされる基板などに対して腐食性があり得るか、又は半導体デバイス性能を低下させ得る。さらに、CFC及びHCFC不純物は環境問題であることが主張される。CFC及びHCFC化合物は、主に、それらが、高い地球温暖化現象の可能性を有することと同様に、高いオゾン減損可能性(ODP)を有するため、オゾン枯渇物質である。
開示されたヒドロフルオロカーボンエッチングガスに存在するCFC及びHCFC化合物は、開示されたヒドロフルオロカーボンエッチングガスの製造プロセスから生じ得る。例えば、1,1,1,3,3,3−ヘキサフルオロプロパン(CF3CH2CF3又はC又はHFC−236fa)は、1,1,1,3,3,3−ヘキサクロロプロパン(CCl3CH2CCl3又はCCl又はHCC−230fa)とフッ化水素との反応によって製造され得る。Nappaら(米国特許第5414165号明細書)を参照のこと。別の例において、0.01ppm未満の1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エン(すなわち、(CFC=CF又はC)を含有する1,1,1,2,3,3,3−ヘプタフルオロプロパン(すなわち、CFCHFCF又はCHF)の製造プロセスが開示される。Nappaら(米国特許第6281395号明細書)を参照のこと。さらに、初期の液相合成からの粗製1,1,1,3,3,3−ヘキサフルオロプロパン(C)は、典型的に約20〜40重量パーセントの、CFC及びHCFC化合物、例えば、1,1,1,3,3−ペンタフルオロ−2−クロロプロペン(HCFC1215xc);1,1,1,3−テトラフルオロ−3−クロロプロペン(HCFC1224zc);1,1−ジフルオロ−2,2−ジクロロエテン(HCFC1112a);トリクロロフルオロメタン(CFC11)及び1,1,1,3,3−ペンタフルオロ−3−クロロプロパン(HCFC235fa)を含むフルオロカーボン不純物を含有する。液相反応によって得られる粗製1,1,1,3,3,3−ヘキサフルオロプロパン(C)中のCFC及びHCFCのほとんどの不純物は、蒸留によって除去され得る。約0.5重量%未満の他のフルオロカーボンを含む1,1,1,3,3−ペンタフルオロプロパン及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロペンの共沸混合物は、<1000ppm、好ましくは、<500ppm、より好ましくは、<100ppmのレベルまで1,1,1,3,3,3−ヘキサフルオロプロパンを精製するという結果をもたらす光塩素化(例えば、UVランプ)によって1,1,1,3,3−ペンタフルオロプロパン及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンが除去され得る、粗製1,1,1,3,3,3−ヘキサフルオロプロパン(C)の蒸留によって生じ得る。Chiuら(米国特許第7205444号明細書)を参照のこと。
開示されたヒドロフルオロカーボンエッチングガスは、100ppm未満、好ましくは、10ppm未満、より好ましくは、1ppm未満のCFC及びHCFC不純物を含有する。開示されたヒドロフルオロカーボンエッチングガス中に存在するCFC及びHCFC微量ガス不純物の例としては、限定されないが、1,1,1,3,3,3−ヘキサクロロプロパン(CCl−C−CCl又はCCl)、1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エン((CFC=CF又はC)、1,1,1,3,3−ペンタフルオロ−2−クロロプロペン(CF−CCl=CF又はCClF)、1,1,1,3−テトラフルオロ−3−クロロプロペン(CF−CH=CFCl又はCHClF)、1,1−ジフルオロ−2,2−ジクロロエテン(CF−CCl又はCCl)、トリクロロフルオロメタン(CFCl又はCFC11)、1,1,1,3,3−ペンタフルオロ−3−クロロプロパン(CF−C−CF又はCClF)、1,1,1,3,3−ペンタフルオロプロパン(CF−C−CF又はC)及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパン(CF−CCl−CF又はCHClF)が含まれる。
開示されたヒドロフルオロカーボンエッチングガスは、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、なおより好ましくは、0.01%v/v未満の開示されたヒドロフルオロカーボンエッチングガス以外のヒドロフルオロカーボンの不純物を含有し得る。例えば、ヒドロフルオロカーボン不純物は、x=0〜3、y=0〜8、z=0〜8である式Cを有し得るが、ただし、x=3及びy=2である場合、z≠6であり(すなわち、1,1,1,3,3,3−ヘキサフルオロプロパン(C)及び1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)以外)並びにx=3及びy=1である場合、z≠7である(すなわち、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)以外)。すなわち、x=0〜3、y=0〜8、z=0〜8であるヒドロフルオロカーボン式Cは、開示されたヒドロフルオロカーボンエッチングガス、すなわち、1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)以外である。
さらに、開示されたヒドロフルオロカーボンエッチングガスの1種が、開示されたエッチング法において単独でエッチングガスとして使用される場合、開示されたヒドロフルオロカーボンエッチングガスの残りの少なくとも1種を含む、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、なおより好ましくは、0.01%v/v未満のヒドロフルオロカーボンの不純物を含有し得る。例えば、1,1,1,3,3,3−ヘキサフルオロプロパン(C)が、開示されたエッチング法において単独でエッチングガスとして使用される場合、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)は開示されたヒドロフルオロカーボンエッチングガスであるが、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び/又は1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)の不純物を含有し得る。同様に、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)が、開示されたエッチング法において単独でエッチングガスとして使用される場合、1,1,1,3,3,3−ヘキサフルオロプロパン(C)及び1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)は開示されたヒドロフルオロカーボンエッチングガスであるが、1,1,1,3,3,3−ヘキサフルオロプロパン(C)及び/又は1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)の不純物を含有し得る。
開示されたヒドロフルオロカーボンエッチングガスは、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、なおより好ましくは、0.01%v/v未満のいずれかの有機フッ素化合物の異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、そしてより良好なプロセス再現性を提供し得る。精製された生産物は、周知のフッ素化プロセスを利用して異性体を反応することによって製造されてもい。
代わりに、開示されたヒドロフルオロカーボンエッチングガスは、特に異性体混合物が改善されたプロセスパラメーターを提供する場合、又は標的異性体の単離が困難若しくは高価である場合、5%v/v〜50%v/vの有機フッ素化合物の異性体を含有し得る。例えば、開示されたヒドロフルオロカーボンエッチングガスは、約50%v/v〜約75%v/vの1,1,1,3,3,3−ヘキサフルオロプロパン及び約25%v/v〜約50%v/vの1,1,2,2,3,3−ヘキサフルオロプロパンを含んでもよい。異性体の混合物は、反応チャンバーへの2つ以上のガスラインの必要性を減少させ得る。
開示されたヒドロフルオロカーボンエッチング化合物は室温及び大気圧でガスであり、且つケイ素含有膜などの半導体デバイスにおいてチャネルホール、階段状コンタクト、キャパシタホール、コンタクトホールなどの半導体構造をプラズマエッチングするために適切である。開示されたヒドロフルオロカーボンエッチングガスはマスク上に損傷をほとんど生じないか、又は損傷を生じないため、開示されたヒドロフルオロカーボンエッチングガスは、現在入手可能なマスク材料と適合性があるのみならず、次世代のマスク材料とも適合性がある。開示されたヒドロフルオロカーボンエッチング化合物は、高アスペクト比構造の良好なプロフィールとともに良好なプロフィールの形成を可能にする。換言すれば、開示されたヒドロフルオロカーボンエッチングガスは、ボーイング、パターン崩壊、又は粗さが最小限か又は存在しない垂直のエッチングパターンを生じ得る。これらの特性を達成するために、開示されたヒドロフルオロカーボンエッチングガスは、エッチングプロセス間の酸素及びフッ素ラジカルの直接的衝撃を減少させるのを補助するために、エッチングの間にエッチング抵抗ポリマー層を堆積させ得る。開示されたヒドロフルオロカーボンエッチングガスは、エッチング間の結晶質Siチャネル構造への損傷も減少させ得る。以下の実施例で見られるように、開示されたヒドロフルオロカーボンエッチングガスは、反応器/チャンバー中への送達に関してエッチングプロセス間に適切に揮発性であり、且つ安定している。
必要であれば、開示されたエッチング化合物がエッチングツール又は反応チャンバー中への送達に関して十分な蒸気圧を有することを可能にする温度まで、開示されたエッチング化合物を含有する容器を加熱してもよい。容器は、例えば、約0℃〜約150℃、好ましくは、約25℃〜約100℃、より好ましくは、約25℃〜約50℃の範囲の温度に維持されてよい。より好ましくは、容器は室温(約25℃)で維持される。必要であれば、冷点による凝縮を避けるために、開示されたエッチング化合物を含有する容器及び開示されたエッチング化合物をエッチングチャンバー又は反応チャンバー中へ送達するガスラインを加熱してもよい。当業者は、開示されたヒドロフルオロカーボンエッチング化合物が凝縮を生じずに反応チャンバーへと前もって決定された流量を維持するように、開示されたエッチングガスの送達量を制御するための周知の方法で容器及びガスラインの温度を調整してもよいことを認識するであろう。例えば、以下の実施例1〜4に示されるエッチング化合物に関する前もって決定された流量は7.5sccmである。開示されたヒドロフルオロカーボンエッチングガスは、約0.1sccm〜約1slmの範囲の流量でチャンバーに導入されてもよい。当業者は、流量がツールごとに変動し得ることを認識するであろう。
材料適合性試験は、いずれかの開示されたヒドロフルオロカーボンエッチングガスがチャンバー材料と反応して、そして短期又は長期使用によってチャンバーの性能を低下させるかどうかを決定するために重要である。チャンバー、バルブなどの一部に関連する重要な材料としては、ステンレス鋼、アルミニウム、ニッケル、ポリクロロトリフルオロエテン(PCTFE)、ポリフッ化ビニリデン(PVDF)、ポリテトラフルオロエチレン(PTFE)、並びに他の金属及びポリマーが含まれる。時には、これらの材料は、それらの低下を強化し得る、高温、例えば、20℃より高い温度、及び高圧、例えば、1atmより高い圧力に暴露される。測定方法には、目視検査、重量測定、走査型電子顕微鏡法(SEM)におけるナノメートルスケールでの変化の測定、引張強度、硬度などが含まれ得る。
開示されたヒドロフルオロカーボンエッチングガスを使用して、基板上の多積層(例えば、ONON)をプラズマエッチングする。開示されたプラズマエッチング法は、NAND又は3D NANDゲート又はフラッシュメモリなどの半導体デバイスの製造において有用である。低kエッチングプロセスの間に受ける最小の側壁損傷のため、開示されたヒドロフルオロカーボンエッチングガスは、メモリを基板上のロジックに相互連結するための3Dシリコン貫通電極(TSV)エッチングアプリケーションにおいてSiをエッチングするために使用される。さらに、開示されたヒドロフルオロカーボンエッチングガスは、例えば、3D NANDにおけるONONエッチングなどの階段状エッチングのためにも使用され得る。
プラズマエッチング法は、その中に配置された基板を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、あるいは選択的に多積層(例えば、ケイ素含有膜)の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されるApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されるLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統が含まれる。それらの中のRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するために断続的であってもよい。プラズマパルスを使用して表面荷電を減少させ、それによってボーイングを減少させてもよい。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生機は、反応チャンバー中への通過の前に反応物を処理するために使用され得る。2.45GHz、7kWプラズマ電力及び約0.5Torr〜約10Torrの範囲の圧力で作動させると、反応物Oは2つのO・ラジカルに分解し得る。好ましくは、リモートプラズマは、約1kW〜約10kW、より好ましくは、約2.5kW〜約7.5kWの範囲の電力で生成され得る。
反応チャンバーは、1つ又は1つより多くの基板を含有し得る。例えば、反応チャンバーは、25.4mm〜450mmの直径を有する1〜200のケイ素ウエハを含有し得る。基板は、半導体、光起電、フラットパネル又はLCD−TFTデバイス製造において使用されるいずれかの適切な基板であってよい。適切な基板の例としては、ケイ素、シリカ、ガラス又はGaAsウエハなどのウエハが含まれる。ウエハは、多積層(例えば、ケイ素含有膜)を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、ケイ素(非晶質ケイ素、ポリケイ素(p−Si)、結晶質ケイ素、これらのいずれもB、C、P、As及び/又はGeによってさらにp−ドープ又はn−ドープされていてよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0;b、c、d、e≧0)、ハードマスク層材料、例えば、a−C、反射防止コーティング、フォトレジスト材料、タングステン、窒化チタン、窒化タンタル又はそれらの組合せ、エッチング停止層、例えば、結晶質ケイ素、炭化ケイ素、SiCN又はそれらの組合せ、デバイスチャネル材料、例えば、結晶質ケイ素、エピタキシャルケイ素、ドープされたケイ素、Si(式中、a>0;b、c、d、e≧0)、あるいはそれらの組合せが含まれる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基板と記載される。
以下は、開示されたヒドロフルオロカーボンエッチングガスがエッチングのために適用され得る基板(例えば、パターン形成されたウエハ)の模範的な実施形態である。
開示された実施形態において、図1aに示されるように、基板100は多積層を含み得る。図1aは、模範的な3D NAND積層の断面側面図である。示されるように、交互SiO/SiN又はONON層104のn対の積層をシリコンウエハ102上に位置する(すなわち、ONON又はTCAT技術)。104aはSiO層を表し、そして104bはSiN層を表す。ここでnは整数である。nは96であってよい。代わりに、nが128以上であってもよい。当業者は、シリコンウエハ102をタングステン(W)ウエハに置き換えてもよいことを認識するであろう。a−Cハードマスク層106は、SiO/SiN層104のn対の最上部に位置する。a−Cハードマスク層106は、C及びH、並びにSiO/SiN層エッチングの間にエッチング抵抗を改善するためにホウ素、窒素などの他の元素を含有し得る。反射防止コーティング層108は、a−Cハードマスク層106の最上部に位置する。パターン形成されたフォトレジスト層110は、反射防止コーティング層108の最上部に位置する。パターン形成されたフォトレジスト層110は、3D NAND積層の複数の凹部領域を画定する複数のパターン形成されたホール(本明細書中、1つのホール112が示される)を含む。凹部領域は、開示されたヒドロフルオロカーボンエッチングガスから生じるプラズマ下でマスクパターン形成層に対して選択的にケイ素含有膜をエッチングすることによって形成されるであろう。ハードマスク層は、CVD又はa−Cのスピンオン堆積層、ドープド炭素、ケイ素含有スピンオンマスク、炭素含有スピンオンマスク、フォトレジストなどであってよい。フォトレジスト層110中のパターンをa−Cハードマスク層106に移すために、反射防止コーティング層108とa−Cマスク層106との間に(示されない)SiON層が存在してもよい。当業者は、基板100中の層のスタックが、模範的な目的のためのみ提供され、かつ開示されたヒドロフルオロカーボンエッチングガスが他の種類の層のスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、基板100のスタック中の交互SiO/SiN層104の数が変動し得ることを認識するであろう。
図1bは、図1aの3D NAND積層においてプラズマエッチングによって形成された模範的なアパーチャーの側面断面図である。図1b及び図1aの間の相違は、図1bではビア又はアパーチャー114が基板100に形成されることである。ビア114は、開示されたヒドロフルオロカーボンエッチング化合物を使用して交互SiO/SiN層104をエッチングすることによって形成される。出願人は、ビア114が、コンタクトエッチングアプリケーションにおいて1:1〜50:1の範囲のアスペクト比、好ましくは、開示されたヒドロフルオロカーボンエッチング化合物を使用するプラズマエッチングによって約1:1〜約200:1の範囲のアスペクト比を有し得ると考える。開示されたヒドロフルオロカーボンエッチングガスは、ほとんど同じか、又は近いエッチング速度で積層交互SiO/SiN層104においてSiO及びSiNの両層をエッチングすることが可能である。すなわち、積層交互SiO/SiN層104は、それぞれSiO及びSiNに対して別のエッチング液で別々にエッチングするのではなく、単一プロセスで単一エッチング液によってエッチングされる。開示されたヒドロフルオロカーボンエッチングガスは、3D NANDメモリアプリケーションにおいて高アスペクト比ホールの平滑な側壁を得ることに関して、SiO及びSiNの類似のエッチング速度を有する(すなわち、SiNに対するSiOのエッチング選択性は約1:1であり、好ましくはSiNに対するSiOのエッチング選択性は約1:2〜約2:1の範囲である)。
図1cは、模範的なアパーチャーの側壁上に堆積されたポリマー層を有する、図1aの3D NAND積層においてプラズマエッチングによって形成された模範的なアパーチャーの側面断面図である。開示されたヒドロフルオロカーボンエッチングガスは、エッチングされる構造の側壁上にケイ素含有膜を異方的にエッチングするため、そしてポリマー不動態化層を堆積するために適切なプラズマプロセスの間に断片を生じ得る。図1c及び図1aの間の相違は、図1cにおいては、開示されたヒドロフルオロカーボンエッチングガスを使用するプラズマエッチングによって基板100においてビア114を形成しながら、同時にビア114の側壁上にポリマー不動態化層116も形成されることである。開示されたヒドロフルオロカーボンエッチングガスにOを添加することによって、ポリマー不動態化層116の厚さは制御下にあり、それによって、ポリマー堆積による側壁プロフィール変形が避けられる。開示されたヒドロフルオロカーボンエッチングガスによって形成されるポリマー不動態化層116は、ビア114の底部により平滑な側壁、わずかの又は存在しないボーイング、及びわずかな変形ももたらす。しかしながら、ポリマー不動態化層116は、当該技術分野において周知の乾式又は湿式エッチング化学によって容易に除去されるか、又はクリーニングされ得る。
図1dは、側壁ボーイングを有する、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。示されるように、実際のエッチングプロセスにおいて、a−Cハードマスクパターン形成層106はテーパー状プロフィールを有する。反射防止コーティング層108及びパターン形成されたフォトレジスト層110は示されていない。a−Cハードマスクパターン形成層106において、又はアパーチャー開口部の周囲において、ビア114の開口部を狭くし得る側壁ネッキング(示されていない)が生じ得る。側壁ネッキングは、マスクパターンの傾斜側壁からのスパッタリングからの粒子の再堆積によって形成される。側壁ネッキングは、エッチング速度及び底部直径を減少させ、最終的に接触抵抗に悪影響を及ぼす。したがって、側壁ネッキングは、エッチングプロセスにおいて、アパーチャー開口部の周囲(すなわち、ビア114のONON開口部の周囲)で減少又は回避されるべきである。ポリマー層堆積(示されていない)を有するエッチングされた構造の側壁118は反れて、そして垂直に連続せず、高アスペクト比エッチングホールの品質を低下させる。側壁ボーイング又はバレリング(barreling)が、逸脱したイオンの衝撃による側面エッチングから得られ、且つそれは、高アスペクト比エッチングホールの側壁上での十分な保護層の欠如によって促進されることは知られている。ボーイングプロフィールは、エッチングホール間に減少したプロセスマージンをもたらし、3D NANDアーキテクチャの規模縮小に対する障害である。図1d中、「a」は最大側壁エッチングを表し、「b」はONON開口部ライン幅を表し、そして「c」はプラズマエッチングによって形成された模範的なアパーチャーの底部ライン幅を表す。ボーイングは、次の方程式:ボーイング=(a/b)×100によって、側壁エッチングの比率によって決定され得る。ライン幅バイアスは、開口部ライン幅と底部ライン幅との間の相違によって定義されることができ、すなわち、ライン幅バイアス=b−cである。ライン幅バイアスは、底部ライン幅の縮小によるエッチング停止を表す。理想的なエッチングプロフィールは、ボーイングを有さず、すなわち、ボーイング=0である。すなわち、最大ライン幅は、エッチングされる構造に関するONON開口部ライン幅と等しい。実際の実施において、ほぼ0のボーイングが常に望ましい。好ましくは、ボーイングは5%未満である(又はボーイングは0〜5%である)。より好ましくは、ボーイングは2%未満である(又はボーイングは0〜2%である)。側壁118のボーイングは、開示されたヒドロフルオロカーボンエッチングガスを使用することによって減少され得る。出願人は、開示されたヒドロフルオロカーボンガスが、エッチングホールの側壁118のボーイングを減少するか、又は排除すると考える。
当業者は、図1a及び図1dの層のスタック及び幾何構造が、模範的な目的のためのみ提供され、かつ開示されたヒドロフルオロカーボンエッチングガスが他の種類の層のスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、スタック中の層の数が変動し得ること(すなわち、記載された複数層より多いか、又は少ない層を含み得ること)を認識するであろう。
開示されたヒドロフルオロカーボンエッチングガスは、基板と、第1のエッチング層及び第2のエッチング層の交互層とを含有する反応チャンバー中に導入される。必要であれば、冷点による送達ガスラインにおける凝縮を避けるために、開示されたエッチングガスを含有する容器と、開示されたヒドロフルオロカーボンエッチングガスを反応チャンバー中へ送達するガスラインとを加熱してもよい。開示されたヒドロフルオロカーボンエッチングガスが凝縮を生じずに反応チャンバーへと所望の流量を維持するように、開示されたヒドロフルオロカーボンエッチングガスの送達量を制御するための周知の方法で容器及びガスラインの温度を調整してもよい。ヒドロフルオロカーボンエッチングガスは、約0.1sccm〜約1slmの範囲のフロー速度においてチャンバーに導入され得る。例えば、200mmのウエハ径に関して、開示されたヒドロフルオロカーボンエッチングガスは約5sccm〜約50sccmの範囲のフロー速度においてチャンバーに導入され得る。代わりに、450mmのウエハ径に関して、開示されたヒドロフルオロカーボンエッチングガスは約25sccm〜約250sccmの範囲のフロー速度においてチャンバーに導入され得る。当業者は、フロー速度がツールによって変動し得ることを認識するであろう。
高いポリマー堆積を排除するためか、又は高いポリマー堆積の厚さを減少させるために、酸素含有ガスが反応チャンバー中に導入される。酸素含有ガスとしては、限定されないが、O、O、CO、CO、NO、NO、NO、SO、CO、HO及びそれらの組合せなどの酸化剤が含まれる。プラズマ化学への酸素又は酸素含有ガスの添加によって、プラズマ種のF/C比が増加し、そしてポリマー形成が減少することは既知である(例えば、Hungらの米国特許第6,387,287号明細書を参照のこと)。反応チャンバー中に導入する前に、開示されたエッチングガス及び酸素含有ガスを一緒に混合してもよい。
代わりに、酸素含有ガスをチャンバー中に連続的に導入し、そして開示されたヒドロフルオロカーボンエッチングガスをチャンバー中に断続的に導入する。酸素含有ガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成する(99.99%v/vは、別の連続的導入に関してほぼ純粋な酸化剤の導入を表す)。
開示されたヒドロフルオロカーボンエッチングガスに第2のエッチングガスが添加されてもよい。第2のエッチングガスは、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、FNO、SO及びそれらの組合せからなる群から選択される。
開示されたヒドロフルオロカーボンエッチングガスは、ニートな形態で、又は不活性ガスとのブレンド中で供給されてよい。不活性ガスは、任意選択的に反応チャンバー中に導入され得、そしてプラズマを維持することの補助になり得る。不活性ガスは、He、Ar、Xe、Kr、Ne、N又はそれらの組合せであってよい。好ましくは、不活性ガスは、Ar、Xe、Kr又はそれらの組合せである。開示されたヒドロフルオロカーボンエッチングガス及び不活性ガスは、チャンバー中に導入される前に混合されてよく、不活性ガスが、得られる混合物の約0.01%v/v〜約99.9%v/vを構成する。代わりに、不活性ガスは連続的にチャンバーに導入され得、開示されたヒドロフルオロカーボンエッチングガスは断続的にチャンバーに導入される。開示されたヒドロフルオロカーボンエッチングガスは、不活性ガスとのブレンド中、様々な濃度で存在し得る。
開示されたヒドロフルオロカーボンエッチングガス及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによって開示されたヒドロフルオロカーボンエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W〜約20,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、200KHz〜1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基板における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。これらの方法は、エッチングがエッチングの終了に達する時間を決定するために、エッチングプロセスの間の終点検出のためにも使用されてもよい。
開示されたヒドロフルオロカーボンエッチングガスは、反応チャンバー中への導入の前に、又は反応チャンバー内部で他のガスと混合されてもよい。開示されたヒドロフルオロカーボンエッチングガス及び他のガスは、同伴ガスの均一濃縮を提供するためにチャンバーへの導入の前に混合されてよい。
別の選択肢において、開示されたヒドロフルオロカーボンガスは、2種以上のガスが反応する場合など、他のガスとは独立してチャンバー中に導入されてもよい。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス及び酸素含有ガスは、エッチングプロセスの間に使用される2種のみのガスである。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス、酸素含有ガス及び不活性ガスは、エッチングプロセスの間に使用される3種のみのガスである。
開示されたヒドロフルオロカーボンエッチングガス及び第2のエッチングガスは、反応チャンバーへの導入の前に混合されてもよい。第2のエッチングガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成し得る。
第1のエッチング層及び第2のエッチング層の交互層並びに活性化されたヒドロフルオロカーボンエッチングガスは反応して、揮発性副産物が形成され、これは反応チャンバーから除去される。a−Cマスク、反射防止コーティング及びフォトレジスト層は、活性化されたヒドロフルオロカーボンエッチングガスとの反応性が低い。
反応チャンバー中の温度及び圧力は、ケイ素含有膜等の交互層が活性化ヒドロフルオロカーボンエッチングガスと反応するために適切な条件に保持される。例えば、チャンバー中の圧力は、約0.1mTorr〜約1000Torr、好ましくは、約1mTorr〜約10Torr、より好ましくは、約10mTorr〜約1Torr、より好ましくは、約10mTorr〜約100mTorrに保持され得る。同様に、チャンバー中の基板温度は、約−196℃〜約500℃、好ましくは、約−120℃〜約300℃、より好ましくは、約−100℃〜約50℃、そしてより好ましくは、約−10℃〜約40℃の範囲であり得る。チャンバー壁部温度は、約−196℃〜約300℃の範囲であり得る。
交互層と活性化ヒドロフルオロカーボンエッチングガスとの間の反応は、基板からの交互層の異方性除去をもたらす。窒素、酸素及び/又は炭素原子も交互層に存在し得る。除去は、(プラズマによって促進された)プラズマイオンからの交互層の物理的スパッタリング及び/又はSiを、xが1〜4の範囲であるSiFなどの揮発性種に変換するためのプラズマ種の化学反応による。
プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、好ましくは、マスク層に対して高いか、又は無限の選択性を示し、且つ第1のエッチング層及び第2のエッチング層の交互層に対して低い選択性を示すか、又は選択性を示さない。プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、ボーイング又は荒さが低いか、又は存在せず、且つ高アスペクト比の垂直エッチングプロフィールをもたらす。これは3D NANDアプリケーションに関して重要である。さらに、プラズマ活性化ヒドロフルオロカーボンエッチングガスは、側壁上にポリマーを堆積させ、特徴プロフィール変形を最小化する。以下の実施例に示されるように、プラズマ活性化ヒドロフルオロカーボンエッチングガスは、a−C及びフォトレジストなどのマスク層から;又はCuなどの金属コンタクト層からSiO及び/又はSiNを選択的にエッチングし得る。プラズマ活性化ヒドロフルオロカーボンエッチングガスによるSiO対SiNのエッチング選択性は、1:2〜2:1の範囲であり得、好ましくは約1:1であり得、SiO及びSiN層の両方を通してエッチングブレークをもたらす。
開示されたエッチングプロセスは、ケイ素含有膜などの3D NANDフラッシュメモリなどにおいて、コンタクトホール、チャネルホール、階段状コンタクト、キャパシタホールなどを生じる。結果として生じるアパーチャーは、約1:1〜約200:1の範囲のアスペクト比及び5nm〜200nmの範囲のおよその直径を有し得る。例えば、当業者は、チャネルホールエッチングによって、60:1より大きいアスペクト比及び40nm程度の小ささの直径を有するアパーチャーがケイ素含有膜中に生じることを認識するであろう。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。次の実施例において、SiO、SiN、a−C及びポリ−Si層に対する1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)エッチングガスのエッチング性能を評価する。その結果、C、iso−C、CHF及びiso−CHFエッチングガスによって、単一エッチングプロセスにおいてSiO及びSiNのエッチングがもたらされ、ポリマー堆積による側壁保護が提供され、そしてボーイングが少ないか又は存在しない高アスペクト比の直線垂直エッチングプロフィールが提供されることが示される。結果として、C、iso−C、CHF及びiso−CHFは、NANDフラッシュメモリのための構造のエッチング又はONON階段状エッチングのために使用され得る。加えて、C、iso−C、CHF及びiso−CHFエッチング化合物はポリマー形成を提供するため、プロセスはマスクモルフォロジー及び最小側壁変形を維持する。
次の試験は、Lam 4520 XLEアドバンスド誘電体エッチングシステム(150mmの二周波容量結合プラズマエッチング装置)を使用して実行された。
図2は、堆積及びエッチング試験において適用される模範的な反応器システムの模範的な側面図である。示されるように、反応器600は、反応器チャンバー602を含む。底部電極604の上部に付着されたウエハ606が反応器チャンバー602の底部部分に配置され、かつケイ素上部電極シャワーヘッド608が反応器チャンバー602の上部部分に配置される。底部電極604は、それに対して適用されたバイアス電力を有する静電チャックであり得る。例えば、2MHz RFバイアス電力が底部電極604に適用される。ウエハ606は、エッチングされる必要のある複数層を有し得る。ケイ素上部電極シャワーヘッド608は、複数のホール610を有し、それを通してガスが通過する。ガスは、ガス入口612を通して反応器チャンバー602に導入され得、次いで、均一なガス分布のためにシャワーヘッド608中のホール610を通して通過する。電源は、ケイ素上部電極シャワーヘッド608に適用され得る。例えば、27MHz RF電源がケイ素上部電極シャワーヘッド608に適用され得る。ケイ素上部電極シャワーヘッド608及び底部電極604の間がプラズマ領域である。数字614は、ケイ素上部電極シャワーヘッド608及び底部電極604の間隙距離(破線二重矢印)を示す。例えば、1.35cmの間隙距離がエッチング試験のために選択されてよい。シャワーヘッド608中のホール610を通して通過するガスは、プラズマ領域においてイオン化され、次いで、ウエハ606上でエッチングを実行する。ガスは、出口614から反応器チャンバー602の外にガスをポンプ送出することによって除去される。
次の実施例1〜4におけるエッチング試験は、SiO、SiN、p−Si及びa−Cを含む4種の異なる基板材料を有する4つの2×2cmクーポン上で行われた。クーポンを直径150mmのキャリアウエハ上に配置し、そしてWakefield Solution Inc.から得られる熱接合コンパウンドによって接触させて保持した。代わりに、炭素テープを使用してクーポンをキャリアウエハ上に接着することもできる。エッチング試験は、30mTorr、750W(27MHz)の電源及び1500W(2MHz)のバイアス電力で実行された。エッチングガスの流量は7.5sccmであり;Arの流量は250sccmであり;そしてOの流量は、エッチング/ポリマー堆積間の交差を見出すために数sccm(例えば、1、5、10及び15sccm)増加で変動させた。エッチング時間は60秒であった。
図3は、平面ウエハ上で、エッチングガスとしてC(CF−CH−CF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、SiOのエッチング速度は、初期は高く、次いで5sccmのO流量で最大値を有し、次いでO流量が増加するにつれて徐々に減少する。SiNの最大SiNエッチング速度は8sccmのO流で生じる。O流量が7〜10sccmの範囲にある場合、SiOのエッチング速度及びSiNのエッチング速度は高く、そしてほぼ同じであるのに対して、a−Cのエッチング速度及びポリ−Siのエッチング速度は非常に低く、SiO及びSiNのものよりもかなり低いことに留意されたい。これは、7〜10sccmのO流量において、エッチングガスC(CF−CH−CF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層から選択的にSiO層及びSiN層をエッチングすることを意味する。
図4は、エッチングガスとしてCを使用する、酸素流量に対する、SiN、a−C又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、SiNに対するSiOの選択性は5:1より低いが、O流量が6sccmである場合、1:1より大きい。O流量が7〜12sccmの範囲である場合、SiNに対するSiOの選択性は約1である。したがって、この範囲において、SiOをエッチングすることと、SiNをエッチングすることとは選択的ではない。Cは、7〜12sccmの範囲のO流量で、SiO及びSiN層の両方をエッチングする。それに対して、a−Cに対するSiOの選択性は、5〜11sccmの範囲のO流量が無限(100)であり、次いで、11sccmのO流量でほぼ5未満まで、次いで12sccmのO流量で0まで急激に減少する。ポリ−Siに対するSiOの選択性は、5〜7sccmの範囲のO流量が無限(100)であり、そして7sccmのO流量で約35まで急激に減少し、次いで7〜12sccmのO流量で0まで徐々に減少する。したがって、7〜10sccmの範囲のO流量において、Cはa−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。
図5は、平面ウエハ上で、エッチングガスとしてiso−C(CHF−CF−CHF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、7〜10sccmのO流量において、エッチングガスiso−C(CHF−CF−CHF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層からSiO層及びSiN層を選択的にエッチングする。図6は、エッチングガスとしてiso−Cを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、7〜10sccmの範囲のO流量において、iso−Cは、a−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。
図7は、平面ウエハ上で、エッチングガスとしてCHF(CF−CHF−CF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、8〜11sccmのO流量において、エッチングガスCHF(CF−CHF−CF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層からSiO層及びSiN層を選択的にエッチングする。図8は、エッチングガスとしてCHFを使用する、酸素流量に対する、SiN、a−C又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、8〜11sccmの範囲のO流量において、CHFは、a−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。
図9は、平面ウエハ上で、エッチングガスとしてiso−CHF(CF−CF−CHF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、7〜10sccmのO流量において、エッチングガスiso−CHF(CF−CF−CHF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層からSiO層及びSiN層を選択的にエッチングする。図10は、エッチングガスとしてiso−CHFを使用する、酸素流量に対する、SiN、a−C又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、約10sccmのO流量において、iso−CHFは、a−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。iso−CHF(CF−CF−CHF)を使用するエッチングの結果は、CHF(CF−CHF−CF)の場合とは異なる。したがって、異性体の選択は重要であると考えられる。
図11は、プラズマエッチングのためのパターン形成されたウエハのSEMイメージである。図12a〜12bは、C(CF−CH−CF)及びOによって図11のパターン形成されたウエハをプラズマエッチングした後のSEMイメージである。図11に示されるように、パターン形成されたa−Cハードマスク層は、a−Cハードマスク層中に均等に分布された正方形ホールのパターンを有する厚さ約680nmである。ONON層は、厚さ約1.6umであり、そして40nmのSiN層及び25nmのSiO層(30対)の60の交互層を有する。図12aに、ONON積層上でC及びOを用いてプラズマエッチングによって形成されたアパーチャー(1)〜(5)を示す。30mTorr、750W(27MHz)の電源及び1500W(2MHz)のバイアス電力でエッチング試験を実行した。C(CF−CH−CF)の流量は7.5sccmであり;Oの流量は10sccmであり;そしてArの流量は125sccmであった。エッチング時間は120秒であった。それぞれのアパーチャー(1)〜(5)は平滑な側壁を有するほぼ直線垂直構造を有する。側壁ネッキングは、ONON開口部の周囲の代わりに、a−Cマスクパターン層の側壁上に生じる。エッチングはONON積層の約631nmで停止し、これはアパーチャー(2)〜(5)上にマークを付けられる。図12bに示されるアパーチャー(2)〜(5)の様々なライン幅データを表2に列挙する。アパーチャー(2)〜(5)の算出されたボーイング及びライン幅バイアスも表中に列挙する。
Figure 2020533809
この結果は、アパーチャー(2)のみが、ほぼゼロである1%のボーイングを有することを示す。したがって、C(CF−CH−CF)及びOを使用するエッチングされたアパーチャーは、ボーイングがほとんどないか又は存在せず、良好な側壁保護を有する。
ガスクロマトグラフィー質量分析(GC/MS)を使用して、C(CF−CH−CF)の商業的に購入された試料を分析したところ、2,2−ジクロロ−1,1,1−トリフルオロエタン、2−クロロ−1,1,1−トリフルオロエタン、トリクロロモノフルオロメタン及び2−クロロ−1,1,3,3,3−ペンタフルオロ−1−プロペン、1,1,1−トリフルオロエタン、1,1,1,2−テトラフルオロエタン、ペンタフルオロエタン及びヘキサフルオロプロピレンを含む多数のヒドロフルオロカーボン、クロロフルオロカーボン及びヒドロクロロフルオロカーボン不純物、並びにN、CO及びHOを含有することが見出された。
要約すると、開示されたヒドロフルオロカーボンエッチングガスを用いるケイ素含有膜の乾式エッチングの評価は、開示されたヒドロフルオロカーボンエッチングガスが、選択性を伴わずに単一エッチングプロセスにおいてSiN及びSiO層のエッチングを提供することを示す。開示されたヒドロフルオロカーボンエッチングガスは、a−Cマスク層からのSiN及びSiO層の選択的エッチングも提供する。同時に、エッチングプロセスの間に、開示されたヒドロフルオロカーボンエッチングガスは、エッチングプロフィールを保護するためにエッチング構造の側壁上にポリマーの層を形成する。開示されたヒドロフルオロカーボンエッチングガスは、ボーイングが少ないか又は存在せず、且つ良好な側壁保護を有する高アスペクト比エッチングプロフィールを提供する。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。化合物及び方法の多くの変形及び修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。
関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2017年8月31日出願の米国特許出願第15/692,247号の利益を主張する。
本発明の概念は、半導体デバイスの製造方法、特に多積層をエッチングすることが可能なエッチングガスを使用する3D NANDアーキテクチャの製造方法に関する。
酸化ケイ素及び窒化ケイ素(SiO/SiN)は、NAND型フラッシュメモリにおけるトンネル及び電荷捕獲のための重要な組成物である。メモリアプリケーションにおいて半導体基板から酸化ケイ素及び窒化ケイ素膜を除去するために、エッチングが適用される。3D NANDなどのメモリアプリケーション(例えば、米国特許出願公開第2011/0180941号明細書を参照のこと)に関して、多積層SiO/SiNのエッチングは重要である。垂直NANDメモリ(例えば、3D NAND)をエッチングするための課題は、可能な限り高い類似のエッチング速度で酸化物及び窒化物層をエッチングする方法である。さらに、エッチング構造は、ボーイング(bowing)及び低いラインエッジラフネス(LER)を生じずに、直線垂直のプロフィールを有する(高アスペクト比)べきである。
従来のエッチング化学的性質は、20:1より高いアスペクト比を有するホール又はトレンチなどの特徴を提供することが不可能であり得る。高アスペクト比(例えば、>20:1)は、プラズマエッチングプロセスの間の側壁上の少なくとも不十分なエッチ抵抗ポリマー堆積のため、より新しいアプリケーション(例えば、3D NAND)において必要である。従来のエッチングガスとしては、オクタフルオロシクロブタン(cC)、ヘキサフルオロ−1,3−ブタン(C)、テトラフルオロメタンCF、ジフルオロメタンCH、フルオロメタンCHF及び/又はフルオロホルムCHFが含まれる。これらのヒドロフルオロカーボンエッチングガスは、xが0.01〜1の範囲であり、且つyが0.01〜4の範囲である側壁ポリマー−C−を生じ得る。これらの側壁ポリマーは、エッチングに影響されやすくなり得る。Standaert et al(J.Vac.Sci.Technol.A,22,53,2004)を参照のこと。当該技術分野において、ヒドロフルオロカーボン中でC:Fの比率が増加すると選択性及びポリマー堆積速度が増加することは周知である(すなわち、C>C>CF)。例えば、Hungらの米国特許第6387287号明細書を参照のこと。結果として、従来のエッチング化学的性質によると、エッチングされたパターンは直線垂直ではあり得ず、そしてエッチング構造は、ボーイング、寸法の変化、パターン崩壊及び/又は荒さの増加を示し得る。ボーイングは、エッチング構造のボーイングを導く非常に狭いエッチングスペースでのイオン偏向に起因し得る。Bogart et al,J.Vac.Sci.Technol A,18,197(2000)を参照のこと。現在のアプリケーション(例えば、コンタクトエッチング又は3D NAND)に関して必要とされる高アスペクト比(すなわち、最高200:1)を有するエッチングプロフィールを達成するために、ボーイングを最小化することが重要である。
3D NANDアプリケーションにおいて高アスペクト比エッチング構造の平滑な側壁を得ることに関して、SiO及びSiNの類似の高エッチング速度を有するエッチングガスを見出すことは困難である。
3D NANDアプリケーションにおいて、SiO層及びSiN層の交互層の積層は、例えば、コンタクトホール、階段状コンタクトなどの基板及び半導体構造上に形成され、そしてSiO層及びSiN層の交互層を通してエッチングされる。エッチングガスとしてヒドロフルオロカーボンを使用してSiO及び/又はSiN層をエッチングする試みがなされた。
Andersonら(国際公開第2014/070838A号パンフレット)は、Cヒドロフルオロカーボンによるケイ素含有層のエッチング方法を開示する。
Umezakiら(米国特許第9,017,571号明細書)は、二酸化ケイ素、窒化ケイ素、多結晶質ケイ素、非晶質ケイ素及び炭化ケイ素などのエッチングケイ素材料のための1,3,3,3−テトラフルオロプロペン(トランス−及びシス−)並びに添加剤ガスの使用を開示する。
Wangら(米国特許第6,183,655号明細書)は、他の非酸化物層(例えば、SiN層)に対して高い選択性(少なくとも20:1)を有するSiOをエッチングするためのフルオロプロピレン及びヒドロフルオロカーボンの使用を開示する。フルオロプロピレン及びヒドロフルオロカーボンは、1,1,1,2,3,3,3−C及び1,1,2,2,3,3,3−CHFを含む。
Merryら(米国特許第6,015,761号明細書)は、マイクロ波活性化プラズマ源を用いて、二酸化ケイ素、未ドープシリケートガラス、ホホシリケート(phophosilicate)ガラス(PSG)、ボロホスホシリケートガラス(BPSG)、窒化ケイ素を含む誘電体層をエッチングするためのヒドロフルオロカーボンの使用を開示する。Cはフルオロカーボンガスの例にリストされるが、Cを使用するいずれのエッチング実施例も開示されていない。
Arleoら(米国特許第5,176,790号明細書)は、基礎の金属層へのプラズマエッチングによって絶縁層を通して1つ又はそれ以上のビアを形成することを開示する。エッチングガスには、非環式3〜6炭素フッ化炭化水素C及びCHFが含まれるが、C及びCHFによるエッチング実施例は示されていない。絶縁材料は、金属層上に形成された、堆積された酸化ケイ素、窒化ケイ素化合物又は酸窒化ケイ素化合物を含む。
Chungら(米国特許第9,460,935号明細書)は、半導体デバイスの製造方法を開示する。この方法は、基板上に積層された第1のエッチング層及び第2のエッチング層を形成することと、化合物を含むエッチングガスから生成したプラズマ下で、第1のエッチング層及び第2のエッチング層をエッチングすることによって凹部領域を形成することとを含む。この化合物は、1,1,1,2,3,3−ヘキサフルオロプロパン、2,2,2−トリフルオロエタン−1−チオール、1,1,1,3,3−ペンタフルオロプロパン、1,1,2,2,3−ペンタフルオロプロパン及び1,1,2,2−テトラフルオロ−1−ヨードエタン、2,3,3,3−テトラフルオロプロペン及び1,1−ジフルオロエテンの少なくとも1種を含む。
Demminら(米国特許第6,120,697号明細書及び米国特許第6,428,716号明細書)は、式C(式中、x=3、4又は5;2x≧z≧y;及びy+z=2x+2)を有する少なくとも1種のエッチング液化合物によるエッチング方法を開示する。圧力、バイアス及び力のような種々の運転パラメーターによって、Siに対するSiO及びSiに対するSiOの有意な選択性が達成された。加えて、このエッチングプロセスは、Siに対するSiO及び/又はSiに対するSiOのエッチング比が約2:1以上であるような条件下で実行される。
Kwonらは、ヒドロフルオロカーボン(CH)がSiONのエッチングのために使用されたことを開示する(Kwon et al.,“Infinite Etch Selectivity during Etching of SiON with an Extreme Ultraviolet Resist Pattern in Dual−Frequency Capacitively Coupled Plasmas”,Journal of the Electrochemical Soc.(2010)157,D21−D28)。
Kareckiらは、高アスペクト比SiOエッチングのためのヒドロフルオロカーボンの使用を開示する(Karecki et al.,“Use of Novel Hydrofluorocarbon and lodofluorocarbon Chemistries for a High Aspect Ratio Via Etch in a High Densily Plasma Etch Tool”,Journal of the Electrochemical Soc.(1998)145,4305−4312)。
Nappaら(米国特許第5,414,165号明細書)は、1,1,1,3,3,3−ヘキサクロロプロパン(すなわち、CCl3CH2CCl3又はCCl又はHCC−230fa)とフッ化水素との反応による1,1,1,3,3,3−ヘキサフルオロプロパン(すなわち、CF3CH2CF3又はC又はHFC−236fa)の製造プロセスを開示する。
Raoら(米国特許第8,053,611号明細書)は、HF、Cl及び式CXCCl=CClX(式中、それぞれのXは独立してF又はClである)の少なくとも1種のハロプロペンの出発材料から得られるクロロフルオロカーボン(CFC)及びヒドロクロロフルオロカーボン(HCFC)を利用するCの合成方法を開示する。
Nappaら(米国特許第6,281,395号明細書)は、0.01ppm未満のペルフルオロイソブチレン(PFIB)を含有するCHFの製造プロセスを開示する。
Chiuら(米国特許第7,205,444号明細書)は、不飽和フルオロカーボンを除去するためにUV光塩素化剤を使用する1,1,1,3,3,3−ヘキサフルオロプロパンの精製プロセスを開示する。
非従来的なヒドロフルオロカーボンエッチングガスを使用して3D NANDアプリケーションにおいてSiOの層及びSiN層の複数の交互層をエッチングすることはなお不足している。多層3D NANDメモリのエッチングなどの特定の用途に関して、SiNに対する高い選択性を有するSiOエッチングに関する従来技術が多数あるにもかかわらず、選択性を有さずに両SiO及びSiN層(又はSiO及びp−Si層の両方)をエッチングすることが可能なエッチングガスを有することは重要である。言い換えると、3D NANDメモリにおいて高アスペクト比ホールの平滑な側壁を得ることに関して、SiO及びSiNの類似の高いエッチング速度を有するエッチングガスを見出すことが課題である。
したがって、SiO/SiNの類似の高エッチング速度を維持しながら、SiO/SiN層をエッチングすることが可能なエッチングガスを見出す必要がある。
基板上の第1のエッチング層及び第2のエッチング層の交互層と、交互層上のハードマスク層とを有する3D NANDフラッシュメモリの製造方法が開示される。開示された方法は、ハードマスク層上にハードマスクパターンを形成するステップと、1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)からなる群から選択されるヒドロフルオロカーボンエッチングガスを使用してハードマスク層に対して交互層を選択的にプラズマエッチングすることによって交互層中にアパーチャーを形成するためにハードマスクパターンを使用するステップとを含み、第1のエッチング層は第2のエッチング層のものとは異なる材料を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・ハードマスク層が、非晶質炭素又はドープド炭素のCVD又はスピンオン堆積層、ケイ素含有スピンオンマスク、及び炭素含有スピンオンマスクからなる群から選択される;
・ハードマスク層が非晶質炭素(a−C)層である;
・ハードマスク層がドープド炭素層である;
・ハードマスク層が、ケイ素含有スピンオンマスク層である;
・ハードマスク層が、炭素含有スピンオンマスク層である;
・交互層の堆積が、基板上に第1のエッチング層を堆積すること、第1のエッチング層上に第2のエッチング層を堆積すること、第2のエッチング層上に別の第1のエッチング層を堆積すること、並びに交互に及び繰り返し、第1及び第2のエッチング層を堆積して、基板上に第1及び第2のエッチング層の複数の対を含む積層構造を形成することを含む;
・交互層が、酸化ケイ素、窒化ケイ素、SiOCH、SiON、Si(式中、a>0;b、c、d及びe≧0)又はその組合せの層を含む;
・交互層が、酸素原子、窒素原子、炭素原子、水素原子又はその組合せを含み、交互層がケイ素含有膜である;
・交互層が、酸化ケイ素の層及び窒化ケイ素の層を含む;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層を含む;
・交互層が、酸化ケイ素及び窒化ケイ素の交互層である;
・第1のエッチング層が、酸化ケイ素層を含む;
・第1のエッチング層が、窒化ケイ素層を含む;
・第2のエッチング層が、酸化ケイ素層を含む;
・第2のエッチング層が、窒化ケイ素層を含む;
・交互層が、ハードマスク層から選択的にエッチングされる;
・交互層が、a−C層から選択的にエッチングされる;
・交互層が、ドープド炭素層から選択的にエッチングされる;
・交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、a−C層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ドープド炭素層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素及び窒化ケイ素の交互層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、a−C層から選択的にエッチングされる;
・酸化ケイ素層が、ドープド炭素層から選択的にエッチングされる;
・酸化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、a−C層から選択的にエッチングされる;
・窒化ケイ素層が、ドープド炭素層から選択的にエッチングされる;
・窒化ケイ素層が、ケイ素含有スピンオンハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、炭素含有スピンオンハードマスク層から選択的にエッチングされる;
・ヒドロフルオロカーボンエッチングガスから生成したプラズマを用いる単一プロセスによって第1及び第2のエッチング層の交互層をエッチングする;
・ヒドロフルオロカーボンエッチングガスが、高エッチング速度で第1及び第2の両エッチング層をエッチングする;
・ヒドロフルオロカーボンエッチングガスが、高エッチング速度で酸化ケイ素層及び窒化ケイ素層の両方をエッチングする;
・ヒドロフルオロカーボンエッチングガスが、高エッチング速度で酸化ケイ素層及び窒化ケイ素層を選択的にエッチングしない;
・第1及び第2の両エッチング層をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:2〜約2:1の範囲である;
・第1及び第2の両エッチング層をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:1である;
・酸化ケイ素層及び窒化ケイ素層の両方をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:2〜約2:1の範囲である;
・酸化ケイ素層及び窒化ケイ素層の両方をエッチングするヒドロフルオロカーボンエッチングガスの選択性が、約1:1である;
・ヒドロフルオロカーボンエッチングガスが、少なくとも1つの水素を含有する;
・ヒドロフルオロカーボンエッチングガスが、少なくとも1つの水素を含有する、3炭素(C)ヒドロフルオロカーボン(m>0、n>0であるC)化合物である;
・ヒドロフルオロカーボンエッチングガスが、少なくとも1つの水素を含有する、C有機フッ素化合物である;
・ヒドロフルオロカーボンエッチングガスが、1,1,1,3,3,3−ヘキサフルオロプロパン(C)である;
・ヒドロフルオロカーボンエッチングガスが、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)である;
・ヒドロフルオロカーボンエッチングガスが、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)である;
・ヒドロフルオロカーボンエッチングガスが、1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)である;
・ヒドロフルオロカーボンエッチングガスが、プラズマ下でケイ素含有膜と反応し、揮発性副産物を形成する;
・副産物を除去する;
・凝縮を避けるため、プラズマエッチング用のヒドロフルオロカーボンエッチングガスを加熱する;
・ヒドロフルオロカーボンエッチングガスの望ましい流量を維持するために、プラズマエッチング用のヒドロフルオロカーボンエッチングガスを加熱する;
・ヒドロフルオロカーボンエッチングガスに酸素含有ガスを添加する;
・酸素含有ガスが、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せからなる群から選択される;
・酸素含有ガスがOである;
・アパーチャーを形成する前に、ヒドロフルオロカーボンエッチングガスと酸素含有ガスとを混合し、混合物を製造する;
・酸素含有ガスとは別にヒドロフルオロカーボンエッチングガスを導入する;
・連続的に酸素含有ガスを導入し、断続的にヒドロフルオロカーボンエッチングガスを導入する;
・酸素含有ガスが、ヒドロフルオロカーボンエッチングガス及び酸素含有ガスの全体積の約0.01%v/v〜約99.9%v/vを含む;
・酸素含有ガスが、ヒドロフルオロカーボンエッチングガス及び酸素含有ガスの全体積の約0.01%v/v〜約10%v/vを含む;
・ヒドロフルオロカーボンエッチングガスに不活性ガスを添加する;
・ヒドロフルオロカーボンエッチングガスに不活性ガスを添加しない;
・不活性ガスが、He、Ar、Xe、Kr、Ne及びNからなる群から選択される;
・不活性ガスがArである;
・不活性ガスがXeである;
・不活性ガスがKrである;
・アパーチャーを形成する前に、ヒドロフルオロカーボンエッチングガスと不活性ガスとを混合し、混合物を製造する;
・不活性ガスとは別にヒドロフルオロカーボンエッチングガスを導入する;
・連続的に不活性ガスを導入し、断続的にヒドロフルオロカーボンエッチングガスを導入する;
・不活性ガスが、ヒドロフルオロカーボンエッチング化合物の蒸気及び不活性ガスの全体積の約0.01%v/v〜約99.9%v/vを含む;
・不活性ガスが、ヒドロフルオロカーボンエッチング化合物の蒸気及び不活性ガスの全体積の約90%v/v〜約99%v/vを含む;
・基板がSiウエハである;
・基板が結晶質ケイ素層である;
・約1:1〜約50:1のアスペクト比を有する交互層におけるアパーチャーを製造する;
・約1:1〜約200:1のアスペクト比を有する交互層におけるアパーチャーを製造する;
・5%未満のボーイングを有する交互層においてアパーチャーを製造する;
・2%未満のボーイングを有する交互層においてアパーチャーを製造する;
・約5nm〜約200nmの範囲の直径を有するアパーチャーを製造する;
・約100nmの直径を有するアパーチャーを製造する;
・約50nmの直径を有するアパーチャーを製造する;
・アパーチャーを製造する;
・アパーチャーが3D NANDアパーチャーである;
・アパーチャーがコンタクトホールである;
・アパーチャーが3D NANDコンタクトホールである;
・アパーチャーが階段状コンタクトである;
・約1:1〜約200:1のアスペクト比を有するチャネルホールを製造する;
・5%未満のボーイングを有する交互層においてチャネルホールを製造する;
・2%未満のボーイングを有する交互層においてチャネルホールを製造する;
・約5nm〜約200nmの範囲の直径を有するチャネルホールを製造する;
・約100nmの直径を有するチャネルホールを製造する;
・約40nmの直径を有するチャネルホールを製造する;
・約1:1〜約200:1のアスペクト比を有するコンタクトホールを製造する;
・約5nm〜約200nmの範囲の直径を有するコンタクトホールを製造する;
・5%未満のボーイングを有する交互層においてコンタクトホールを製造する;
・2%未満のボーイングを有する交互層においてコンタクトホールを製造する;
・約100nmの直径を有するコンタクトホールを製造する;
・約40nmの直径を有するコンタクトホールを製造する;
・ヒドロフルオロカーボンエッチングガスに第2のエッチングガスを添加することによって選択性を改善する;
・第2のエッチングガスが、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、FNO、SO及びそれらの組合せからなる群から選択される;
・アパーチャーを形成する前に、ヒドロフルオロカーボンエッチングガスと第2のエッチングガスとを混合する;
・第2のエッチングガスとは別にヒドロフルオロカーボンエッチングガスを導入する;
・ヒドロフルオロカーボンエッチングガスに約0.01%v/v〜約99.99%v/vの第2のエッチングガスを添加する;
・RF力を適用することによってプラズマを活性化する;
・約25W〜約20,000Wの範囲のRF力によってプラズマを活性化する;
・エッチング圧力が約1mTorr〜約10Torrの範囲である;
・エッチング圧力が30mTorrである;
・約0.1sccm〜約1slmの範囲の流量においてヒドロフルオロカーボンエッチングガスを導入する;
・約−196℃〜約500℃の範囲の温度で基板を維持する;
・約−120℃〜約300℃の範囲の温度で基板を維持する;
・約−100℃〜約50℃の範囲の温度で基板を維持する;
・約−10℃〜約40℃の範囲の温度で基板を維持する;並びに
・四重極型質量分析計、発光分光計、FTIR又は他のラジカル/イオン測定ツールによるプラズマ下でヒドロフルオロカーボンエッチングガスを測定する。
1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)からなる群から選択される有機フッ素化合物を含むヒドロフルオロカーボンエッチング化合物も開示される。開示された有機フッ素エッチング化合物は、次の態様の1つ又はそれ以上を含む:
・有機フッ素エッチングガスが少なくとも1つの水素を含有する;
・有機フッ素エッチングガスがCである;
・有機フッ素エッチングガスがiso−Cである;
・有機フッ素エッチングガスがCHFである;
・有機フッ素エッチングガスがiso−CHFである;
・約95体積%〜約99.999体積%の範囲の純度を有する;
・約10パーツパートリリオン〜約5体積%の微量ガス不純物を含む;
・微量ガス不純物が水を含む;
・微量ガス不純物がCOを含む;
・微量ガス不純物がNを含む;
・微量ガス不純物がCFC及びHCFCを含む;
・有機フッ素エッチングガスが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・iso−CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のCFC及びHCFCを含有する;
・CFC及びHCFCが、1,1,1,3,3,3−ヘキサクロロプロパン、1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エン、1,1,1,3,3−ペンタフルオロ−2−クロロプロペン、1,1,1,3−テトラフルオロ−3−クロロプロペン、1,1−ジフルオロ−2,2−ジクロロエテン、トリクロロフルオロメタン、1,1,1,3,3−ペンタフルオロ−3−クロロプロパン、1,1,1,3,3−ペンタフルオロプロパン及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンである;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサクロロプロパンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−2−クロロプロペンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3−テトラフルオロ−3−クロロプロペンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1−ジフルオロ−2,2−ジクロロエテンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のトリクロロフルオロメタンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−3−クロロプロパンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロプロパンを含有する;
・Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサクロロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−2−クロロプロペンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3−テトラフルオロ−3−クロロプロペンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1−ジフルオロ−2,2−ジクロロエテンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満のトリクロロフルオロメタンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロ−3−クロロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3−ペンタフルオロプロパンを含有する;
・iso−Cが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンを含有する;
・CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エンを含有する;
・iso−CHFが、100ppm未満、好ましくは10ppm未満、より好ましくは1ppm未満の1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エンを含有する;
・微量ガス不純物が、開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含む;
・開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンが、x=3及びy=2である場合、z≠6であり、且つx=3及びy=1である場合、z≠7であることを条件として、x=0〜3、y=0〜8、z=0〜8である式Cを有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−Cを含有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCHFを含有する;
・Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−CHFを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCHFを含有する;
・iso−Cが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−CHFを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−Cを含有する;
・CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−CHFを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満の開示された有機フッ素エッチング化合物以外のヒドロフルオロカーボンを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のiso−Cを含有する;
・iso−CHFが、10%v/v未満、好ましくは1%v/v未満、より好ましくは0.1%v/v未満、なおより好ましくは0.01%v/v未満のCHFを含有する;並びに
・有機フッ素エッチングガスが20ppmw未満の水分含有量を有する。
表記法及び命名法
次の記載及び請求項全体で、特定の略語、記号及び用語が使用され、そしてこれには次のものが含まれる:
本明細書で使用される場合、不定冠詞「1つの(a)」又は「1つの(an)」は1つ又はそれ以上を意味する。
本明細書で使用される場合、本文又は請求項中の「約(about)」又は「約(around)」又は「約(approximately)」は、明記された値の±10%を意味する。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、垂直な側壁が、基板に対して直角なマスクされた特徴の縁部に沿って形成されるように、イオン衝撃が垂直方向での化学反応を促進するプラズマエッチングプロセス(すなわち、ドライエッチングプロセス)を示す(Manos and Flamm,Plasma Etching an Introduction,Academic Press,Inc.1989 pp.12−13)。エッチングプロセスによって、基板中に、ビア、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、キャパシタホール、コンタクトホールなどのアパーチャーが製造される。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、ケイ素含有膜のスタック上のパターン化されたハードマスク層などの非平面構造をエッチングすることを意味する。
「パターンウエハ」又は「ウエハ」という用語は、基板上のケイ素含有膜の積層と、パターンエッチングのために形成されたケイ素含有膜の積層上のパターン形成されたハードマスク層とを有するウエハを示す。
「マスク」という用語は、エッチングに抵抗する層を示す。ハードマスク層は、エッチングされる層の上に位置し得る。
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下に位置するエッチングに抵抗する層を意味する。
「アスペクト比」という用語は、トレンチの幅(又はビアの直径)に対するトレンチ(又はビア)の高さの比率を意味する。
「ボーイング」という用語は、パターン形成された直径より大きい直径を有し、それによって凸部又は外見上丸型の構造を形成するアパーチャーを示す。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、あるいは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「コンタクトホール」という用語は、ゲートなどの電極、供給源及びトランジスタのドレインを金属配線層に接続するために導電性金属で充てんされるであろう誘電体膜で製造されたホールを示す。
「階段状コンタクト」という用語は、電極層の階段形積層に形成されたコンタクトホールを示す。
「独立して」という用語は、R基の記載に関して使用される場合、対象のR基が、同一又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同一R基のいずれかの追加の種に対しても独立して選択されることも意味するものとして理解されるべきである。例えば、Mが原子であり、xが2又は3である式MR (NR(4−x)において、2個又は3個のR基は、互いに、又はR若しくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
本明細書中、「アパーチャー」、「ビア」、「ホール」及び「トレンチ」という用語は、半導体構造中に形成された開口部を示すために交換可能に使用され得ることに留意されたい。
本明細書中、「エッチング化合物」及び「エッチングガス」という用語は互換的に使用されてもよいことに留意されたい。エッチング化合物がエッチングガスに相当するか、又は関連し得ること、及びエッチングガスがエッチング化合物を意味し得ることは理解される。
本明細書で使用される場合、「NAND」という略語は、「ネガティブAND(Negative AND)」又は「ノットAND(Not AND)」ゲートを示し;「2D」という略語は、平面基板上の2次元のゲート構造を示し;「3D」という略語は、ゲート構造が垂直方向に積層される3次元又は垂直ゲート構造を示す。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、開示された特定の分子を識別するために提供される。
SiN及びSiOなどのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p−Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1〜6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5〜1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書中、次の記載中のSiNは、Si含有層を表すために使用されてもよい。好ましくは、酸化ケイ素は、nが0.5〜1.5の範囲であり、かつmが1.5〜3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiOは、Si含有層を表すのに使用され得る。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、cが0.1〜6の範囲であるSiを含み得る。ケイ素含有膜は、B、C、P、As及び/又はGeなどのドーパントも含み得る。
本明細書で使用される場合、「又は」という用語は、排他的「又は」ではなく、包含的「又は」を意味するように意図される。すなわち、他に指定がない限り、又は文章から明白でない限り、「XはA又はBを利用する」とは、本位の包括的な置換のいずれも意味するように意図される。すなわち、XがAを利用する場合;XがBを利用する場合;又はXがA及びBの両方を利用する場合、「XはA又はBを利用する」は、上記の例のいずれにおいても満足するものである。
請求項中の「含む」とは、その後識別される請求項の要素が非排他的なリストであり、すなわち、他のいずれかが追加的に含まれ得、且つ「含む」の範囲内に残り得ることを意味するオープン移行用語である。本明細書中、「含む」は、より限定的な移行用語「から本質的になる」及び「からなる」を必ず含むものとして定義され;したがって、「含む」は、「から本質的になる」又は「からなる」によって置換され得、且つ「含む」の明白に定義された範囲内に残り得る。
請求項中の「提供する」とは、何かを供給し、補給し、入手可能にさせ、又は調製することを意味するように定義される。このステップは、請求項中にそれとは反対の特別な用語がない条件で実行され得る。
いずれか及び全ての本明細書に列挙される範囲は、「包括的」という用語が使用されるかどうかにかかわらず、それらの終点(すなわち、x=1〜4又はxが1〜4の範囲であるとは、x=1、x=4及びx=その間のいずれかの数を含む)を含む。
本発明の特性及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
図1aは、3D NANDスタック中の模範的な層の断面図である。 図1bは、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。 図1cは、模範的なアパーチャーの側壁上に堆積されたポリマー層を有する、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。 図1dは、側壁ボーイングを有する、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。 図2は、堆積及びエッチング試験で適用された模範的な反応器システムの模範的な断面側面図である。 図3は、エッチングガスとしてCを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図4は、エッチングガスとしてCを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。 図5は、エッチングガスとしてiso−Cを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図6は、エッチングガスとしてiso−Cを使用する、酸素流量に対する、SiN、又はa−C、ポリ−Siに対するSiOの選択性を示すグラフである。 図7は、エッチングガスとしてCHFを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図8は、エッチングガスとしてCHFを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。 図9は、エッチングガスとしてiso−CHFを使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。 図10は、エッチングガスとしてiso−CHFを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。 図11は、プラズマエッチングのためのパターン形成されたウエハのSEMイメージである。 図12aは、C(CF−CH−CF)及びOによって図11のパターン形成されたウエハをプラズマエッチングした直後にマークを付けられたエッチング構造の深さを有するSEMイメージである。 図12bは、C(CF−CH−CF)及びOによって図11のパターン形成されたウエハをプラズマエッチングした直後にマークを付けられたエッチング構造の幅を有するSEMイメージである。
3D NANDフラッシュメモリの製造方法、より特に、ケイ素含有膜をプラズマエッチングして半導体構造を製造する方法が開示される。この構造は、限定されないが、SiO/SiN(ONON)チャネルホール、ONONトレンチ、階段状コンタクトホールなどを含む。この構造は、5〜200nmの最高臨界寸法(CD)を有し得る。開示された方法は、高いアスペクト比を有し、ボーイングが少ないか、存在せず、且つ構造上のポリマー堆積の適切な量を有する構造を製造する。
本明細書中、3D NANDフラッシュメモリは、基板上の第1のエッチング層及び第2のエッチング層の交互層と、交互層上のハードマスク層とを有し得る。開示された方法は、半導体構造に関連するいずれかの3D NAND技術を製造するために適切であり得る。
開示された方法は、i)ハードマスク層上にハードマスクパターンを形成するステップと、ii)ヒドロフルオロカーボンエッチングガスを使用してハードマスク層に対して交互層を選択的にプラズマエッチングすることによって、交互層中にアパーチャーを形成するためにハードマスクパターンを使用するステップとを含む。ヒドロフルオロカーボンエッチングガスは、1,1,1,3,3,3−ヘキサフルオロプロパンガス(C)、1,1,2,2,3,3−ヘキサフルオロプロパンガス(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパンガス(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパンガス(iso−CHF)からなる群から選択される。開示された方法において、第1のエッチング層は、第2のエッチング層のものとは異なる材料を含む。
開示された方法はまた、基板上に第1のエッチング層及び第2のエッチング層の交互層を堆積するステップと、交互層上にハードマスク層を堆積するステップとを含み得る。
第1のエッチング層及び第2のエッチング層の交互層は、化学蒸着(CVD)又は原子層堆積(ALD)に適切な堆積前駆体を使用して基板上に堆積される。交互層は、基板上に第1のエッチング層を堆積し、第1のエッチング層上に第2のエッチング層を堆積し、第2のエッチング層上に別の第1のエッチング層を堆積し、そして第1及び第2のエッチング層を交互に、及び繰り返し堆積して、基板上に第1及び第2のエッチング層の複数の対を含む積層構造を形成することによって形成される。
より特に、基板上に交互層を堆積する方法は、次のステップ:i)少なくとも1つの基板がその中に配置された反応器に第1のケイ素含有前駆体(例えば、酸素含有オルガノシラン)の蒸気を導入し、蒸着法、例えば、プラズマ強化CVD又はプラズマ強化ALDを使用して、第1のケイ素含有前駆体の少なくとも一部を少なくとも1つの基板上に堆積して、第1のエッチング層が形成されることを含む、基板上に第1のエッチング層を堆積するステップと、ii)反応器に第2のケイ素含有前駆体(例えば、窒素含有オルガノシラン)の蒸気を導入し、蒸着法を使用して、第2のケイ素含有前駆体の少なくとも一部を第1のエッチング層上に堆積して、第2のエッチング層が形成されることを含む、第1のエッチング層上に第2のエッチング層を堆積するステップと、iii)第1及び第2のエッチング層を交互に、及び繰り返し堆積して、基板上に第1及び第2のエッチング層の複数の対を含む積層構造を形成するステップとを含む。(Dussarratらの米国特許第9,371,338号明細書を参照のこと)。第1及び第2のエッチング層の複数の対は、48対、96対、128対、264対又はさらに多くであってもよい。
交互層は、少なくとも1対の第1及び第2のエッチング層を含む。交互層は、96対の第1のエッチング層及び第2のエッチング層を含んでもよい。代わりに、交互層は、128対の第1のエッチング層及び第2のエッチング層を含んでもよい。別の選択肢において、交互層は、264対の第1のエッチング層及び第2のエッチング層を含んでもよい。さらに別の選択肢において、交互層は、264対より多くの第1のエッチング層及び第2のエッチング層を含んでもよい。
交互層は、酸化ケイ素、窒化ケイ素、SiOCN、SiON、Si(式中、a>0;b、c、d及びe≧0である)又はそれらの組合せの層を含むケイ素含有膜であってよい。ケイ素含有膜は、酸素原子、窒素原子、炭素原子又はその組合せをさらに含んでもよい。
ケイ素含有膜は、SiO第1のエッチング層及びSiN第2のエッチング層、又はその逆であってよい。ケイ素含有膜は、少なくとも1対のSiO及びSiN層を含有する。ケイ素含有膜は、96対のSiO及びSiN層を含有し得る。代わりに、ケイ素含有膜は、128対のSiO及びSiN層を含有し得る。別の選択肢において、ケイ素含有膜は、264対のSiO及びSiN層を含有し得る。さらに別の選択肢において、ケイ素含有膜は、264対より多くのSiO及びSiN層を含有し得る。
ハードマスク層は、限定されないが、非晶質炭素(a−C)又はドープド炭素のCVD又はスピンオン堆積層、ケイ素含有スピンオンハードマスク(SOH)、炭素含有スピンオンハードマスク、フォトレジスト又はそれらの組合せであり得る。ドープド炭素は、ホウ素(B)、タングステン(W)、チタン(Ti)、ジルコニウム(Zr)、アルミニウム(Al)又はその組合せでドープされた炭素であり得る。
ハードマスク層は、CVD又はスピンオン堆積法によって交互層上に形成される。ハードマスク層は、a−Cのスピンオン堆積層であってよい。有機化合物、例えば、ポリアミド及びポリスルホンによって形成された反射防止層はa−C層上に堆積され、そしてフォトレジスト層が反射防止層上に堆積される。フォトレジスト層パターンは、曝露(例えば、フォトリソグラフィックプロセス)を実行し、そしてフォトレジスト層上で現像プロセスを実行することによって形成される。a−C層パターンは、エッチングマスクとしてフォトレジスト層パターンを使用し、反射防止層及びa−C層をエッチングすることによって形成される。a−C層パターンはハードマスク層パターンを形成する。次いで、エッチングマスクとしてハードマスク層パターンを使用して、交互層をハードマスク層(すなわち、a−C層)からエッチングし、相互層中にアパーチャーを含有するパターンを形成する(Dussarratらの米国特許出願公開第20130109198号明細書を参照のこと)。アパーチャーは、基板を暴露するように第1のエッチング層及び第2のエッチング層の交互層を穿孔するコンタクトホール又はチャネルホールを含む。
開示された方法は、開示されたヒドロフルオロカーボンエッチングガスから生じたプラズマを用いる単一プロセスによって第1及び第2のエッチング層の交互層をエッチングする方法を提供する。開示されたヒドロフルオロカーボンエッチングガスは、例えば100nm/分〜600nm/分又はそれ以上の高エッチング速度で第1及び第2の両エッチング層をエッチングする。第1のエッチング層が酸化ケイ素層であり、且つ第2のエッチング層が窒化ケイ素層であるか、又はその逆である場合、開示されたヒドロフルオロカーボンエッチングガスは、例えば、100nm/分〜600nm/分又はそれ以上の高エッチング速度で、且つ約1:1の選択性で酸化ケイ素層及び窒化ケイ素層を通してエッチングする。
開示されたヒドロフルオロカーボンエッチングガスは、少なくとも1つの水素を含有する、3炭素(C)ヒドロフルオロカーボン(m>0、n>0であるC)化合物である。開示されたヒドロフルオロカーボンエッチングガスは、−20℃〜室温(すなわち、25℃)の範囲の沸点を有し、エッチング液として使用するために適切である。これらのエッチングガスは、不燃性であり、無毒であり、且つ商業的に利用可能である。それらの構造式、CAS番号及び沸点は表1に含まれる。当業者は、提供されたCAS番号を使用して、これらのガスの合成方法を得てもよいことを認識するであろう。
Figure 2020533809
開示されたヒドロフルオロカーボンエッチングガスは、フォトレジスト層、マスク層、エッチングストップ層及びデバイスチャネル材料に対する高い選択性から無限の選択性を提供する。開示されたヒドロフルオロカーボンエッチングガスは、SiO及びSiNなどのケイ素含有層に対する選択性を提供しない。開示されたヒドロフルオロカーボンエッチングガスは、高アスペクト比構造におけるプロフィール歪みを提供しない。例えば、それらは、3D NANDアプリケーションにおけるような1:1〜200:1の範囲のアスペクト比を有する。開示されたヒドロフルオロカーボンエッチングガスは、3D NANDアプリケーションにおいて高アスペクト比アパーチャー又はホールの平滑側面を得ることに関して、SiO及びSiNの類似のエッチング速度を提供する。得られるアパーチャーは、チャネルホール及びコンタクトホールエッチングアプリケーションにおいて1:1〜50:1の範囲のアスペクト比、好ましくは、約1:1〜約200:1の範囲のアスペクト比を有し得る。
開示されたヒドロフルオロカーボンエッチングガスは、エッチングと同時に、アパーチャーの側壁でポリマー不動態化層を堆積させる。エッチングプロセスにOを添加することによって、ポリマー不動態化層の厚さは、側面プロフィール変形を避けるために制御されてもよい。ポリマー不動態化層も平滑な側壁を提供し、3D NAND積層におけるアパーチャーの一番下でボーイングがわずかにあるか、又はボーイングがなく、且つ変形がわずかにあるか、又は変形がない。必要であれば、ポリマー不動態化層は、当該技術分野において周知の乾式又は湿式エッチング化学によって容易に除去又はクリーニング又は研磨され得る。
開示されたヒドロフルオロカーボンエッチングガスは、得られるパターン形成された高アスペクト比構造において、交互層(例えば、ケイ素含有膜)の間の約1:1の選択性を提供する。開示されたヒドロフルオロカーボンエッチングガスは、得られるパターン形成された高アスペクト比構造において、交互層に対してマスク材料の無限の選択性を提供する。開示されたヒドロフルオロカーボンエッチングガスは、得られるパターン形成された高アスペクト比構造において、チャネル領域に対して少ない損傷を提供するか、又は損傷を提供しない。さらに、開示されたヒドロフルオロカーボンエッチングガスは、ボーイングを減少するか、又は得られるパターン形成された高アスペクト比構造における少ないボーイングを提供するか、若しくはボーイングを提供しない。加えて、開示されたヒドロフルオロカーボンエッチングガスは、エッチングプロセスの間に得られる高アスペクト比構造の側面上にポリマー堆積を示す。結果として、開示されたプロセスは、得られる高アスペクト比構造において、チャネル領域に対して少ない損傷を提供するか、又は損傷を提供しない。開示されたヒドロフルオロカーボンエッチングガスは、一プロセスでSiO/SiNの交互層を通してエッチングし、垂直エッチングプロフィールが得られる。SiO/SiNの交互層を通してのエッチングの選択性は、約1:2〜約2:1、好ましくは約1:1の範囲である。
開示されたヒドロフルオロカーボンエッチングガスは、95%v/vより高い、好ましくは、99.99%v/vより高い純度、より好ましくは、99.999%v/vより高い純度の有機フッ素化合物が提供される。開示されたヒドロフルオロカーボンエッチングガスは、5体積%未満の微量ガス不純物を含有し、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppmw未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。不純物成分と触媒を含む選択的反応物とを反応させることによって、精製された生産物を製造してもよい。CO、CO、N、HO、HF、HS、SO、ハロゲン化物並びにCFC及びHCFC化合物を含む他の炭化水素又はヒドロハロカーボンの除去のために、周知の標準的な精製技術を使用してもよい。
半導体アプリケーションにおいて、エッチング組成物に存在する塩素含有成分が塩素汚染を含むエッチングプロセスにおける有害作用を有し得ると考えられる。塩素汚染は、エッチングガスパイプライン、エッチングチャンバー、エッチングされる基板などに対して腐食性があり得るか、又は半導体デバイス性能を低下させ得る。さらに、CFC及びHCFC不純物は環境問題であることが主張される。CFC及びHCFC化合物は、主に、それらが、高い地球温暖化現象の可能性を有することと同様に、高いオゾン減損可能性(ODP)を有するため、オゾン枯渇物質である。
開示されたヒドロフルオロカーボンエッチングガスに存在するCFC及びHCFC化合物は、開示されたヒドロフルオロカーボンエッチングガスの製造プロセスから生じ得る。例えば、1,1,1,3,3,3−ヘキサフルオロプロパン(CF3CH2CF3又はC又はHFC−236fa)は、1,1,1,3,3,3−ヘキサクロロプロパン(CCl3CH2CCl3又はCCl又はHCC−230fa)とフッ化水素との反応によって製造され得る。Nappaら(米国特許第5414165号明細書)を参照のこと。別の例において、0.01ppm未満の1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エン(すなわち、(CFC=CF又はC)を含有する1,1,1,2,3,3,3−ヘプタフルオロプロパン(すなわち、CFCHFCF又はCHF)の製造プロセスが開示される。Nappaら(米国特許第6281395号明細書)を参照のこと。さらに、初期の液相合成からの粗製1,1,1,3,3,3−ヘキサフルオロプロパン(C)は、典型的に約20〜40重量パーセントの、CFC及びHCFC化合物、例えば、1,1,1,3,3−ペンタフルオロ−2−クロロプロペン(HCFC1215xc);1,1,1,3−テトラフルオロ−3−クロロプロペン(HCFC1224zc);1,1−ジフルオロ−2,2−ジクロロエテン(HCFC1112a);トリクロロフルオロメタン(CFC11)及び1,1,1,3,3−ペンタフルオロ−3−クロロプロパン(HCFC235fa)を含むフルオロカーボン不純物を含有する。液相反応によって得られる粗製1,1,1,3,3,3−ヘキサフルオロプロパン(C)中のCFC及びHCFCのほとんどの不純物は、蒸留によって除去され得る。約0.5重量%未満の他のフルオロカーボンを含む1,1,1,3,3−ペンタフルオロプロパン及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロペンの共沸混合物は、<1000ppm、好ましくは、<500ppm、より好ましくは、<100ppmのレベルまで1,1,1,3,3,3−ヘキサフルオロプロパンを精製するという結果をもたらす光塩素化(例えば、UVランプ)によって1,1,1,3,3−ペンタフルオロプロパン及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパンが除去され得る、粗製1,1,1,3,3,3−ヘキサフルオロプロパン(C)の蒸留によって生じ得る。Chiuら(米国特許第7205444号明細書)を参照のこと。
開示されたヒドロフルオロカーボンエッチングガスは、100ppm未満、好ましくは、10ppm未満、より好ましくは、1ppm未満のCFC及びHCFC不純物を含有する。開示されたヒドロフルオロカーボンエッチングガス中に存在するCFC及びHCFC微量ガス不純物の例としては、限定されないが、1,1,1,3,3,3−ヘキサクロロプロパン(CCl−C−CCl又はCCl)、1,1,3,3,3−ペンタフルオロ−2−(トリフルオロメチル)プロプ−1−エン((CFC=CF又はC)、1,1,1,3,3−ペンタフルオロ−2−クロロプロペン(CF−CCl=CF又はCClF)、1,1,1,3−テトラフルオロ−3−クロロプロペン(CF−CH=CFCl又はCHClF)、1,1−ジフルオロ−2,2−ジクロロエテン(CF−CCl又はCCl)、トリクロロフルオロメタン(CFCl又はCFC11)、1,1,1,3,3−ペンタフルオロ−3−クロロプロパン(CF−C−CF又はCClF)、1,1,1,3,3−ペンタフルオロプロパン(CF−C−CF又はC)及び1,1,1,3,3,3−ヘキサフルオロ−2−クロロプロパン(CF−CCl−CF又はCHClF)が含まれる。
開示されたヒドロフルオロカーボンエッチングガスは、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、なおより好ましくは、0.01%v/v未満の開示されたヒドロフルオロカーボンエッチングガス以外のヒドロフルオロカーボンの不純物を含有し得る。例えば、ヒドロフルオロカーボン不純物は、x=0〜3、y=0〜8、z=0〜8である式Cを有し得るが、ただし、x=3及びy=2である場合、z≠6であり(すなわち、1,1,1,3,3,3−ヘキサフルオロプロパン(C)及び1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)以外)並びにx=3及びy=1である場合、z≠7である(すなわち、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)以外)。すなわち、x=0〜3、y=0〜8、z=0〜8であるヒドロフルオロカーボン式Cは、開示されたヒドロフルオロカーボンエッチングガス、(すなわち、1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF))以外である。
さらに、開示されたヒドロフルオロカーボンエッチングガスの1種が、開示されたエッチング法において単独でエッチングガスとして使用される場合、開示されたヒドロフルオロカーボンエッチングガスの残りの少なくとも1種を含む、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、なおより好ましくは、0.01%v/v未満のヒドロフルオロカーボンの不純物を含有し得る。例えば、1,1,1,3,3,3−ヘキサフルオロプロパン(C)が、開示されたエッチング法において単独でエッチングガスとして使用される場合、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)は開示されたヒドロフルオロカーボンエッチングガスであるが、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び/又は1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)の不純物を含有し得る。同様に、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)が、開示されたエッチング法において単独でエッチングガスとして使用される場合、1,1,1,3,3,3−ヘキサフルオロプロパン(C)及び1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)は開示されたヒドロフルオロカーボンエッチングガスであるが、1,1,1,3,3,3−ヘキサフルオロプロパン(C)及び/又は1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)の不純物を含有し得る。
開示されたヒドロフルオロカーボンエッチングガスは、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、なおより好ましくは、0.01%v/v未満のいずれかの有機フッ素化合物の異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、そしてより良好なプロセス再現性を提供し得る。精製された生産物は、周知のフッ素化プロセスを利用して異性体を反応することによって製造されてもい。
代わりに、開示されたヒドロフルオロカーボンエッチングガスは、特に異性体混合物が改善されたプロセスパラメーターを提供する場合、又は標的異性体の単離が困難若しくは高価である場合、5%v/v〜50%v/vの有機フッ素化合物の異性体を含有し得る。例えば、開示されたヒドロフルオロカーボンエッチングガスは、約50%v/v〜約75%v/vの1,1,1,3,3,3−ヘキサフルオロプロパン及び約25%v/v〜約50%v/vの1,1,2,2,3,3−ヘキサフルオロプロパンを含んでもよい。異性体の混合物は、反応チャンバーへの2つ以上のガスラインの必要性を減少させ得る。
開示されたヒドロフルオロカーボンエッチング化合物は室温及び大気圧でガスであり、且つケイ素含有膜などの半導体デバイスにおいてチャネルホール、階段状コンタクト、キャパシタホール、コンタクトホールなどの半導体構造をプラズマエッチングするために適切である。開示されたヒドロフルオロカーボンエッチングガスはマスク上に損傷をほとんど生じないか、又は損傷を生じないため、開示されたヒドロフルオロカーボンエッチングガスは、現在入手可能なマスク材料と適合性があるのみならず、次世代のマスク材料とも適合性がある。開示されたヒドロフルオロカーボンエッチング化合物は、高アスペクト比構造の良好なプロフィールとともに良好なプロフィールの形成を可能にする。換言すれば、開示されたヒドロフルオロカーボンエッチングガスは、ボーイング、パターン崩壊、又は粗さが最小限か又は存在しない垂直のエッチングパターンを生じ得る。これらの特性を達成するために、開示されたヒドロフルオロカーボンエッチングガスは、エッチングプロセス間の酸素及びフッ素ラジカルの直接的衝撃を減少させるのを補助するために、エッチングの間にエッチング抵抗ポリマー層を堆積させ得る。開示されたヒドロフルオロカーボンエッチングガスは、エッチング間の結晶質Siチャネル構造への損傷も減少させ得る。以下の実施例で見られるように、開示されたヒドロフルオロカーボンエッチングガスは、反応器/チャンバー中への送達に関してエッチングプロセス間に適切に揮発性であり、且つ安定している。
必要であれば、開示されたエッチング化合物がエッチングツール又は反応チャンバー中への送達に関して十分な蒸気圧を有することを可能にする温度まで、開示されたエッチング化合物を含有する容器を加熱してもよい。容器は、例えば、約0℃〜約150℃、好ましくは、約25℃〜約100℃、より好ましくは、約25℃〜約50℃の範囲の温度に維持されてよい。より好ましくは、容器は室温(約25℃)で維持される。必要であれば、冷点による凝縮を避けるために、開示されたエッチング化合物を含有する容器及び開示されたエッチング化合物をエッチングチャンバー又は反応チャンバー中へ送達するガスラインを加熱してもよい。当業者は、開示されたヒドロフルオロカーボンエッチング化合物が凝縮を生じずに反応チャンバーへと前もって決定された流量を維持するように、開示されたエッチングガスの送達量を制御するための周知の方法で容器及びガスラインの温度を調整してもよいことを認識するであろう。例えば、以下の実施例1〜4に示されるエッチング化合物に関する前もって決定された流量は7.5sccmである。開示されたヒドロフルオロカーボンエッチングガスは、約0.1sccm〜約1slmの範囲の流量でチャンバーに導入されてもよい。当業者は、流量がツールごとに変動し得ることを認識するであろう。
材料適合性試験は、いずれかの開示されたヒドロフルオロカーボンエッチングガスがチャンバー材料と反応して、そして短期又は長期使用によってチャンバーの性能を低下させるかどうかを決定するために重要である。チャンバー、バルブなどの一部に関連する重要な材料としては、ステンレス鋼、アルミニウム、ニッケル、ポリクロロトリフルオロエテン(PCTFE)、ポリフッ化ビニリデン(PVDF)、ポリテトラフルオロエチレン(PTFE)、並びに他の金属及びポリマーが含まれる。時には、これらの材料は、それらの低下を強化し得る、高温、例えば、20℃より高い温度、及び高圧、例えば、1atmより高い圧力に暴露される。測定方法には、目視検査、重量測定、走査型電子顕微鏡法(SEM)におけるナノメートルスケールでの変化の測定、引張強度、硬度などが含まれ得る。
開示されたヒドロフルオロカーボンエッチングガスを使用して、基板上の多積層(例えば、ONON)をプラズマエッチングする。開示されたプラズマエッチング法は、NAND又は3D NANDゲート又はフラッシュメモリなどの半導体デバイスの製造において有用である。低kエッチングプロセスの間に受ける最小の側壁損傷のため、開示されたヒドロフルオロカーボンエッチングガスは、メモリを基板上のロジックに相互連結するための3Dシリコン貫通電極(TSV)エッチングアプリケーションにおいてSiをエッチングするために使用される。さらに、開示されたヒドロフルオロカーボンエッチングガスは、例えば、3D NANDにおけるONONエッチングなどの階段状エッチングのためにも使用され得る。
プラズマエッチング法は、その中に配置された基板を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、あるいは選択的に多積層(例えば、ケイ素含有膜)の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されるApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されるLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統が含まれる。それらの中のRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するために断続的であってもよい。プラズマパルスを使用して表面荷電を減少させ、それによってボーイングを減少させてもよい。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生機は、反応チャンバー中への通過の前に反応物を処理するために使用され得る。2.45GHz、7kWプラズマ電力及び約0.5Torr〜約10Torrの範囲の圧力で作動させると、反応物Oは2つのO・ラジカルに分解し得る。好ましくは、リモートプラズマは、約1kW〜約10kW、より好ましくは、約2.5kW〜約7.5kWの範囲の電力で生成され得る。
反応チャンバーは、1つ又は1つより多くの基板を含有し得る。例えば、反応チャンバーは、25.4mm〜450mmの直径を有する1〜200のケイ素ウエハを含有し得る。基板は、半導体、光起電、フラットパネル又はLCD−TFTデバイス製造において使用されるいずれかの適切な基板であってよい。適切な基板の例としては、ケイ素、シリカ、ガラス又はGaAsウエハなどのウエハが含まれる。ウエハは、多積層(例えば、ケイ素含有膜)を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、ケイ素(非晶質ケイ素、ポリケイ素(p−Si)、結晶質ケイ素、これらのいずれもB、C、P、As及び/又はGeによってさらにp−ドープ又はn−ドープされていてよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0;b、c、d、e≧0)、ハードマスク層材料、例えば、a−C、反射防止コーティング、フォトレジスト材料、タングステン、窒化チタン、窒化タンタル又はそれらの組合せ、エッチング停止層、例えば、結晶質ケイ素、炭化ケイ素、SiCN又はそれらの組合せ、デバイスチャネル材料、例えば、結晶質ケイ素、エピタキシャルケイ素、ドープされたケイ素、Si(式中、a>0;b、c、d、e≧0)、あるいはそれらの組合せが含まれる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基板と記載される。
以下は、開示されたヒドロフルオロカーボンエッチングガスがエッチングのために適用され得る基板(例えば、パターン形成されたウエハ)の模範的な実施形態である。
開示された実施形態において、図1aに示されるように、基板100は多積層を含み得る。図1aは、模範的な3D NAND積層の断面側面図である。示されるように、交互SiO/SiN又はONON層104のn対の積層をシリコンウエハ102上に位置する(すなわち、ONON又はTCAT技術)。104aはSiO層を表し、そして104bはSiN層を表す。ここでnは整数である。nは96であってよい。代わりに、nが128以上であってもよい。当業者は、シリコンウエハ102をタングステン(W)ウエハに置き換えてもよいことを認識するであろう。a−Cハードマスク層106は、SiO/SiN層104のn対の最上部に位置する。a−Cハードマスク層106は、C及びH、並びにSiO/SiN層エッチングの間にエッチング抵抗を改善するためにホウ素、窒素などの他の元素を含有し得る。反射防止コーティング層108は、a−Cハードマスク層106の最上部に位置する。パターン形成されたフォトレジスト層110は、反射防止コーティング層108の最上部に位置する。パターン形成されたフォトレジスト層110は、3D NAND積層の複数の凹部領域を画定する複数のパターン形成されたホール(本明細書中、1つのホール112が示される)を含む。凹部領域は、開示されたヒドロフルオロカーボンエッチングガスから生じるプラズマ下でマスクパターン形成層に対して選択的にケイ素含有膜をエッチングすることによって形成されるであろう。ハードマスク層は、CVD又はa−Cのスピンオン堆積層、ドープド炭素、ケイ素含有スピンオンマスク、炭素含有スピンオンマスク、フォトレジストなどであってよい。フォトレジスト層110中のパターンをa−Cハードマスク層106に移すために、反射防止コーティング層108とa−Cマスク層106との間に(示されない)SiON層が存在してもよい。当業者は、基板100中の層のスタックが、模範的な目的のためのみ提供され、かつ開示されたヒドロフルオロカーボンエッチングガスが他の種類の層のスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、基板100のスタック中の交互SiO/SiN層104の数が変動し得ることを認識するであろう。
図1bは、図1aの3D NAND積層においてプラズマエッチングによって形成された模範的なアパーチャーの側面断面図である。図1b及び図1aの間の相違は、図1bではビア又はアパーチャー114が基板100に形成されることである。ビア114は、開示されたヒドロフルオロカーボンエッチング化合物を使用して交互SiO/SiN層104をエッチングすることによって形成される。出願人は、ビア114が、コンタクトエッチングアプリケーションにおいて1:1〜50:1の範囲のアスペクト比、好ましくは、開示されたヒドロフルオロカーボンエッチング化合物を使用するプラズマエッチングによって約1:1〜約200:1の範囲のアスペクト比を有し得ると考える。開示されたヒドロフルオロカーボンエッチングガスは、ほとんど同じか、又は近いエッチング速度で積層交互SiO/SiN層104においてSiO及びSiNの両層をエッチングすることが可能である。すなわち、積層交互SiO/SiN層104は、それぞれSiO及びSiNに対して別のエッチング液で別々にエッチングするのではなく、単一プロセスで単一エッチング液によってエッチングされる。開示されたヒドロフルオロカーボンエッチングガスは、3D NANDメモリアプリケーションにおいて高アスペクト比ホールの平滑な側壁を得ることに関して、SiO及びSiNの類似のエッチング速度を有する(すなわち、SiNに対するSiOのエッチング選択性は約1:1であり、好ましくはSiNに対するSiOのエッチング選択性は約1:2〜約2:1の範囲である)。
図1cは、模範的なアパーチャーの側壁上に堆積されたポリマー層を有する、図1aの3D NAND積層においてプラズマエッチングによって形成された模範的なアパーチャーの側面断面図である。開示されたヒドロフルオロカーボンエッチングガスは、エッチングされる構造の側壁上にケイ素含有膜を異方的にエッチングするため、そしてポリマー不動態化層を堆積するために適切なプラズマプロセスの間に断片を生じ得る。図1c及び図1aの間の相違は、図1cにおいては、開示されたヒドロフルオロカーボンエッチングガスを使用するプラズマエッチングによって基板100においてビア114を形成しながら、同時にビア114の側壁上にポリマー不動態化層116も形成されることである。開示されたヒドロフルオロカーボンエッチングガスにOを添加することによって、ポリマー不動態化層116の厚さは制御下にあり、それによって、ポリマー堆積による側壁プロフィール変形が避けられる。開示されたヒドロフルオロカーボンエッチングガスによって形成されるポリマー不動態化層116は、ビア114の底部により平滑な側壁、わずかの又は存在しないボーイング、及びわずかな変形ももたらす。しかしながら、ポリマー不動態化層116は、当該技術分野において周知の乾式又は湿式エッチング化学によって容易に除去されるか、又はクリーニングされ得る。
図1dは、側壁ボーイングを有する、図1aの3D NAND積層におけるプラズマエッチングによって形成された模範的なアパーチャーの断面側面図である。示されるように、実際のエッチングプロセスにおいて、a−Cハードマスクパターン形成層106はテーパー状プロフィールを有する。反射防止コーティング層108及びパターン形成されたフォトレジスト層110は示されていない。a−Cハードマスクパターン形成層106において、又はアパーチャー開口部の周囲において、ビア114の開口部を狭くし得る側壁ネッキング(示されていない)が生じ得る。側壁ネッキングは、マスクパターンの傾斜側壁からのスパッタリングからの粒子の再堆積によって形成される。側壁ネッキングは、エッチング速度及び底部直径を減少させ、最終的に接触抵抗に悪影響を及ぼす。したがって、側壁ネッキングは、エッチングプロセスにおいて、アパーチャー開口部の周囲(すなわち、ビア114のONON開口部の周囲)で減少又は回避されるべきである。ポリマー層堆積(示されていない)を有するエッチングされた構造の側壁118は反れて、そして垂直に連続せず、高アスペクト比エッチングホールの品質を低下させる。側壁ボーイング又はバレリング(barreling)が、逸脱したイオンの衝撃による側面エッチングから得られ、且つそれは、高アスペクト比エッチングホールの側壁上での十分な保護層の欠如によって促進されることは知られている。ボーイングプロフィールは、エッチングホール間に減少したプロセスマージンをもたらし、3D NANDアーキテクチャの規模縮小に対する障害である。図1d中、「a」は最大側壁エッチングを表し、「b」はONON開口部ライン幅を表し、そして「c」はプラズマエッチングによって形成された模範的なアパーチャーの底部ライン幅を表す。ボーイングは、次の方程式:ボーイング=(a/b)×100によって、側壁エッチングの比率によって決定され得る。ライン幅バイアスは、開口部ライン幅と底部ライン幅との間の相違によって定義されることができ、すなわち、ライン幅バイアス=b−cである。ライン幅バイアスは、底部ライン幅の縮小によるエッチング停止を表す。理想的なエッチングプロフィールは、ボーイングを有さず、すなわち、ボーイング=0である。すなわち、最大ライン幅は、エッチングされる構造に関するONON開口部ライン幅と等しい。実際の実施において、ほぼ0のボーイングが常に望ましい。好ましくは、ボーイングは5%未満である(又はボーイングは0〜5%である)。より好ましくは、ボーイングは2%未満である(又はボーイングは0〜2%である)。側壁118のボーイングは、開示されたヒドロフルオロカーボンエッチングガスを使用することによって減少され得る。出願人は、開示されたヒドロフルオロカーボンガスが、エッチングホールの側壁118のボーイングを減少するか、又は排除すると考える。
当業者は、図1a及び図1dの層のスタック及び幾何構造が、模範的な目的のためのみ提供され、かつ開示されたヒドロフルオロカーボンエッチングガスが他の種類の層のスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、スタック中の層の数が変動し得ること(すなわち、記載された複数層より多いか、又は少ない層を含み得ること)を認識するであろう。
開示されたヒドロフルオロカーボンエッチングガスは、基板と、第1のエッチング層及び第2のエッチング層の交互層とを含有する反応チャンバー中に導入される。必要であれば、冷点による送達ガスラインにおける凝縮を避けるために、開示されたエッチングガスを含有する容器と、開示されたヒドロフルオロカーボンエッチングガスを反応チャンバー中へ送達するガスラインとを加熱してもよい。開示されたヒドロフルオロカーボンエッチングガスが凝縮を生じずに反応チャンバーへと所望の流量を維持するように、開示されたヒドロフルオロカーボンエッチングガスの送達量を制御するための周知の方法で容器及びガスラインの温度を調整してもよい。ヒドロフルオロカーボンエッチングガスは、約0.1sccm〜約1slmの範囲のフロー速度においてチャンバーに導入され得る。例えば、200mmのウエハ径に関して、開示されたヒドロフルオロカーボンエッチングガスは約5sccm〜約50sccmの範囲のフロー速度においてチャンバーに導入され得る。代わりに、450mmのウエハ径に関して、開示されたヒドロフルオロカーボンエッチングガスは約25sccm〜約250sccmの範囲のフロー速度においてチャンバーに導入され得る。当業者は、フロー速度がツールによって変動し得ることを認識するであろう。
高いポリマー堆積を排除するためか、又は高いポリマー堆積の厚さを減少させるために、酸素含有ガスが反応チャンバー中に導入される。酸素含有ガスとしては、限定されないが、O、O、CO、CO、NO、NO、NO、SO、CO、HO及びそれらの組合せなどの酸化剤が含まれる。プラズマ化学への酸素又は酸素含有ガスの添加によって、プラズマ種のF/C比が増加し、そしてポリマー形成が減少することは既知である(例えば、Hungらの米国特許第6387287号明細書を参照のこと)。反応チャンバー中に導入する前に、開示されたエッチングガス及び酸素含有ガスを一緒に混合してもよい。
代わりに、酸素含有ガスをチャンバー中に連続的に導入し、そして開示されたヒドロフルオロカーボンエッチングガスをチャンバー中に断続的に導入する。酸素含有ガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成する(99.99%v/vは、別の連続的導入に関してほぼ純粋な酸化剤の導入を表す)。
開示されたヒドロフルオロカーボンエッチングガスに第2のエッチングガスが添加されてもよい。第2のエッチングガスは、cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、FNO、SO及びそれらの組合せからなる群から選択される。
開示されたヒドロフルオロカーボンエッチングガスは、ニートな形態で、又は不活性ガスとのブレンド中で供給されてよい。不活性ガスは、任意選択的に反応チャンバー中に導入され得、そしてプラズマを維持することの補助になり得る。不活性ガスは、He、Ar、Xe、Kr、Ne、N又はそれらの組合せであってよい。好ましくは、不活性ガスは、Ar、Xe、Kr又はそれらの組合せである。開示されたヒドロフルオロカーボンエッチングガス及び不活性ガスは、チャンバー中に導入される前に混合されてよく、不活性ガスが、得られる混合物の約0.01%v/v〜約99.9%v/vを構成する。代わりに、不活性ガスは連続的にチャンバーに導入され得、開示されたヒドロフルオロカーボンエッチングガスは断続的にチャンバーに導入される。開示されたヒドロフルオロカーボンエッチングガスは、不活性ガスとのブレンド中、様々な濃度で存在し得る。
開示されたヒドロフルオロカーボンエッチングガス及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによって開示されたヒドロフルオロカーボンエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W〜約20,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、200KHz〜1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基板における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。これらの方法は、エッチングがエッチングの終了に達する時間を決定するために、エッチングプロセスの間の終点検出のためにも使用されてもよい。
開示されたヒドロフルオロカーボンエッチングガスは、反応チャンバー中への導入の前に、又は反応チャンバー内部で他のガスと混合されてもよい。開示されたヒドロフルオロカーボンエッチングガス及び他のガスは、同伴ガスの均一濃縮を提供するためにチャンバーへの導入の前に混合されてよい。
別の選択肢において、開示されたヒドロフルオロカーボンガスは、2種以上のガスが反応する場合など、他のガスとは独立してチャンバー中に導入されてもよい。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス及び酸素含有ガスは、エッチングプロセスの間に使用される2種のみのガスである。
別の選択肢において、開示されたヒドロフルオロカーボンエッチングガス、酸素含有ガス及び不活性ガスは、エッチングプロセスの間に使用される3種のみのガスである。
開示されたヒドロフルオロカーボンエッチングガス及び第2のエッチングガスは、反応チャンバーへの導入の前に混合されてもよい。第2のエッチングガスは、チャンバー中に導入される混合物の約0.01%v/v〜約99.99%v/vを構成し得る。
第1のエッチング層及び第2のエッチング層の交互層並びに活性化されたヒドロフルオロカーボンエッチングガスは反応して、揮発性副産物が形成され、これは反応チャンバーから除去される。a−Cマスク、反射防止コーティング及びフォトレジスト層は、活性化されたヒドロフルオロカーボンエッチングガスとの反応性が低い。
反応チャンバー中の温度及び圧力は、ケイ素含有膜等の交互層が活性化ヒドロフルオロカーボンエッチングガスと反応するために適切な条件に保持される。例えば、チャンバー中の圧力は、約0.1mTorr〜約1000Torr、好ましくは、約1mTorr〜約10Torr、より好ましくは、約10mTorr〜約1Torr、より好ましくは、約10mTorr〜約100mTorrに保持され得る。同様に、チャンバー中の基板温度は、約−196℃〜約500℃、好ましくは、約−120℃〜約300℃、より好ましくは、約−100℃〜約50℃、そしてより好ましくは、約−10℃〜約40℃の範囲であり得る。チャンバー壁部温度は、約−196℃〜約300℃の範囲であり得る。
交互層と活性化ヒドロフルオロカーボンエッチングガスとの間の反応は、基板からの交互層の異方性除去をもたらす。窒素、酸素及び/又は炭素原子も交互層に存在し得る。除去は、(プラズマによって促進された)プラズマイオンからの交互層の物理的スパッタリング及び/又はSiを、xが1〜4の範囲であるSiFなどの揮発性種に変換するためのプラズマ種の化学反応による。
プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、好ましくは、マスク層に対して高いか、又は無限の選択性を示し、且つ第1のエッチング層及び第2のエッチング層の交互層に対して低い選択性を示すか、又は選択性を示さない。プラズマ活性化された開示されたヒドロフルオロカーボンエッチングガスは、ボーイング又は荒さが低いか、又は存在せず、且つ高アスペクト比の垂直エッチングプロフィールをもたらす。これは3D NANDアプリケーションに関して重要である。さらに、プラズマ活性化ヒドロフルオロカーボンエッチングガスは、側壁上にポリマーを堆積させ、特徴プロフィール変形を最小化する。以下の実施例に示されるように、プラズマ活性化ヒドロフルオロカーボンエッチングガスは、a−C及びフォトレジストなどのマスク層から;又はCuなどの金属コンタクト層からSiO及び/又はSiNを選択的にエッチングし得る。プラズマ活性化ヒドロフルオロカーボンエッチングガスによるSiO対SiNのエッチング選択性は、1:2〜2:1の範囲であり得、好ましくは約1:1であり得、SiO及びSiN層の両方を通してエッチングブレークをもたらす。
開示されたエッチングプロセスは、ケイ素含有膜などの3D NANDフラッシュメモリなどにおいて、コンタクトホール、チャネルホール、階段状コンタクト、キャパシタホールなどを生じる。結果として生じるアパーチャーは、約1:1〜約200:1の範囲のアスペクト比及び5nm〜200nmの範囲のおよその直径を有し得る。例えば、当業者は、チャネルホールエッチングによって、60:1より大きいアスペクト比及び40nm程度の小ささの直径を有するアパーチャーがケイ素含有膜中に生じることを認識するであろう。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。次の実施例において、SiO、SiN、a−C及びポリ−Si層に対する1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)エッチングガスのエッチング性能を評価する。その結果、C、iso−C、CHF及びiso−CHFエッチングガスによって、単一エッチングプロセスにおいてSiO及びSiNのエッチングがもたらされ、ポリマー堆積による側壁保護が提供され、そしてボーイングが少ないか又は存在しない高アスペクト比の直線垂直エッチングプロフィールが提供されることが示される。結果として、C、iso−C、CHF及びiso−CHFは、NANDフラッシュメモリのための構造のエッチング又はONON階段状エッチングのために使用され得る。加えて、C、iso−C、CHF及びiso−CHFエッチング化合物はポリマー形成を提供するため、プロセスはマスクモルフォロジー及び最小側壁変形を維持する。
次の試験は、Lam 4520 XLEアドバンスド誘電体エッチングシステム(150mmの二周波容量結合プラズマエッチング装置)を使用して実行された。
図2は、堆積及びエッチング試験において適用される模範的な反応器システムの模範的な側面図である。示されるように、反応器600は、反応器チャンバー602を含む。底部電極604の上部に付着されたウエハ606が反応器チャンバー602の底部部分に配置され、かつケイ素上部電極シャワーヘッド608が反応器チャンバー602の上部部分に配置される。底部電極604は、それに対して適用されたバイアス電力を有する静電チャックであり得る。例えば、2MHz RFバイアス電力が底部電極604に適用される。ウエハ606は、エッチングされる必要のある複数層を有し得る。ケイ素上部電極シャワーヘッド608は、複数のホール610を有し、それを通してガスが通過する。ガスは、ガス入口612を通して反応器チャンバー602に導入され得、次いで、均一なガス分布のためにシャワーヘッド608中のホール610を通して通過する。電源は、ケイ素上部電極シャワーヘッド608に適用され得る。例えば、27MHz RF電源がケイ素上部電極シャワーヘッド608に適用され得る。ケイ素上部電極シャワーヘッド608及び底部電極604の間がプラズマ領域である。数字614は、ケイ素上部電極シャワーヘッド608及び底部電極604の間隙距離(破線二重矢印)を示す。例えば、1.35cmの間隙距離がエッチング試験のために選択されてよい。シャワーヘッド608中のホール610を通して通過するガスは、プラズマ領域においてイオン化され、次いで、ウエハ606上でエッチングを実行する。ガスは、出口614から反応器チャンバー602の外にガスをポンプ送出することによって除去される。
次の実施例1〜4におけるエッチング試験は、SiO、SiN、p−Si及びa−Cを含む4種の異なる基板材料を有する4つの2×2cmクーポン上で行われた。クーポンを直径150mmのキャリアウエハ上に配置し、そしてWakefield Solution Inc.から得られる熱接合コンパウンドによって接触させて保持した。代わりに、炭素テープを使用してクーポンをキャリアウエハ上に接着することもできる。エッチング試験は、30mTorr、750W(27MHz)の電源及び1500W(2MHz)のバイアス電力で実行された。エッチングガスの流量は7.5sccmであり;Arの流量は250sccmであり;そしてOの流量は、エッチング/ポリマー堆積間の交差を見出すために数sccm(例えば、1、5、10及び15sccm)増加で変動させた。エッチング時間は60秒であった。
図3は、平面ウエハ上で、エッチングガスとしてC(CF−CH−CF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、SiOのエッチング速度は、初期は高く、次いで5sccmのO流量で最大値を有し、次いでO流量が増加するにつれて徐々に減少する。SiNの最大SiNエッチング速度は8sccmのO流で生じる。O流量が7〜10sccmの範囲にある場合、SiOのエッチング速度及びSiNのエッチング速度は高く、そしてほぼ同じであるのに対して、a−Cのエッチング速度及びポリ−Siのエッチング速度は非常に低く、SiO及びSiNのものよりもかなり低いことに留意されたい。これは、7〜10sccmのO流量において、エッチングガスC(CF−CH−CF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層から選択的にSiO層及びSiN層をエッチングすることを意味する。
図4は、エッチングガスとしてCを使用する、酸素流量に対する、SiN、a−C又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、SiNに対するSiOの選択性は5:1より低いが、O流量が6sccmである場合、1:1より大きい。O流量が7〜12sccmの範囲である場合、SiNに対するSiOの選択性は約1である。したがって、この範囲において、SiOをエッチングすることと、SiNをエッチングすることとは選択的ではない。Cは、7〜12sccmの範囲のO流量で、SiO及びSiN層の両方をエッチングする。それに対して、a−Cに対するSiOの選択性は、5〜11sccmの範囲のO流量が無限(100)であり、次いで、11sccmのO流量でほぼ5未満まで、次いで12sccmのO流量で0まで急激に減少する。ポリ−Siに対するSiOの選択性は、5〜7sccmの範囲のO流量が無限(100)であり、そして7sccmのO流量で約35まで急激に減少し、次いで7〜12sccmのO流量で0まで徐々に減少する。したがって、7〜10sccmの範囲のO流量において、Cはa−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。
図5は、平面ウエハ上で、エッチングガスとしてiso−C(CHF−CF−CHF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、7〜10sccmのO流量において、エッチングガスiso−C(CHF−CF−CHF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層からSiO層及びSiN層を選択的にエッチングする。図6は、エッチングガスとしてiso−Cを使用する、酸素流量に対する、SiN、a−C、又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、7〜10sccmの範囲のO流量において、iso−Cは、a−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。
図7は、平面ウエハ上で、エッチングガスとしてCHF(CF−CHF−CF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、8〜11sccmのO流量において、エッチングガスCHF(CF−CHF−CF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層からSiO層及びSiN層を選択的にエッチングする。図8は、エッチングガスとしてCHFを使用する、酸素流量に対する、SiN、a−C又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、8〜11sccmの範囲のO流量において、CHFは、a−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。
図9は、平面ウエハ上で、エッチングガスとしてiso−CHF(CF−CF−CHF)を使用する、酸素流量に対するSiO、SiN、a−C、ポリ−Siのエッチング速度を示すグラフである。示されるように、7〜10sccmのO流量において、エッチングガスiso−CHF(CF−CF−CHF)が選択性を伴わずにSiO及びSiN層をエッチングし、そしてa−C層及びp−Si層からSiO層及びSiN層を選択的にエッチングする。図10は、エッチングガスとしてiso−CHFを使用する、酸素流量に対する、SiN、a−C又はポリ−Siに対するSiOの選択性を示すグラフである。示されるように、約10sccmのO流量において、iso−CHFは、a−C層及びp−Si層からSiO及びSiN層を選択的にエッチングする。iso−CHF(CF−CF−CHF)を使用するエッチングの結果は、CHF(CF−CHF−CF)の場合とは異なる。したがって、異性体の選択は重要であると考えられる。
図11は、プラズマエッチングのためのパターン形成されたウエハのSEMイメージである。図12a〜12bは、C(CF−CH−CF)及びOによって図11のパターン形成されたウエハをプラズマエッチングした後のSEMイメージである。図11に示されるように、パターン形成されたa−Cハードマスク層は、a−Cハードマスク層中に均等に分布された正方形ホールのパターンを有する厚さ約680nmである。ONON層は、厚さ約1.6umであり、そして40nmのSiN層及び25nmのSiO層(30対)の60の交互層を有する。図12aに、ONON積層上でC及びOを用いてプラズマエッチングによって形成されたアパーチャー(1)〜(5)を示す。30mTorr、750W(27MHz)の電源及び1500W(2MHz)のバイアス電力でエッチング試験を実行した。C(CF−CH−CF)の流量は7.5sccmであり;Oの流量は10sccmであり;そしてArの流量は125sccmであった。エッチング時間は120秒であった。それぞれのアパーチャー(1)〜(5)は平滑な側壁を有するほぼ直線垂直構造を有する。側壁ネッキングは、ONON開口部の周囲の代わりに、a−Cマスクパターン層の側壁上に生じる。エッチングはONON積層の約631nmで停止し、これはアパーチャー(2)〜(5)上にマークを付けられる。図12bに示されるアパーチャー(2)〜(5)の様々なライン幅データを表2に列挙する。アパーチャー(2)〜(5)の算出されたボーイング及びライン幅バイアスも表中に列挙する。
Figure 2020533809
この結果は、アパーチャー(2)のみが、ほぼゼロである1%のボーイングを有することを示す。したがって、C(CF−CH−CF)及びOを使用するエッチングされたアパーチャーは、ボーイングがほとんどないか又は存在せず、良好な側壁保護を有する。
ガスクロマトグラフィー質量分析(GC/MS)を使用して、C(CF−CH−CF)の商業的に購入された試料を分析したところ、2,2−ジクロロ−1,1,1−トリフルオロエタン、2−クロロ−1,1,1−トリフルオロエタン、トリクロロモノフルオロメタン及び2−クロロ−1,1,3,3,3−ペンタフルオロ−1−プロペン、1,1,1−トリフルオロエタン、1,1,1,2−テトラフルオロエタン、ペンタフルオロエタン及びヘキサフルオロプロピレンを含む多数のヒドロフルオロカーボン、クロロフルオロカーボン及びヒドロクロロフルオロカーボン不純物、並びにN、CO及びHOを含有することが見出された。
要約すると、開示されたヒドロフルオロカーボンエッチングガスを用いるケイ素含有膜の乾式エッチングの評価は、開示されたヒドロフルオロカーボンエッチングガスが、選択性を伴わずに単一エッチングプロセスにおいてSiN及びSiO層のエッチングを提供することを示す。開示されたヒドロフルオロカーボンエッチングガスは、a−Cマスク層からのSiN及びSiO層の選択的エッチングも提供する。同時に、エッチングプロセスの間に、開示されたヒドロフルオロカーボンエッチングガスは、エッチングプロフィールを保護するためにエッチング構造の側壁上にポリマーの層を形成する。開示されたヒドロフルオロカーボンエッチングガスは、ボーイングが少ないか又は存在せず、且つ良好な側壁保護を有する高アスペクト比エッチングプロフィールを提供する。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。化合物及び方法の多くの変形及び修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。

Claims (15)

  1. 基板上の第1のエッチング層及び第2のエッチング層の交互層と、前記交互層上のハードマスク層とを有する3D NANDフラッシュメモリの製造方法であって、
    前記ハードマスク層上にハードマスクパターンを形成するステップと;
    1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)からなる群から選択されるヒドロフルオロカーボンエッチングガスを使用して前記ハードマスク層に対して前記第1のエッチング層及び前記第2のエッチング層の前記交互層を選択的にプラズマエッチングすることによって前記交互層中にアパーチャーを形成するために前記ハードマスクパターンを使用するステップと
    を含み、前記第1のエッチング層は前記第2のエッチング層のものとは異なる材料を含む方法。
  2. 前記ヒドロフルオロカーボンエッチングガスが1,1,1,3,3,3−ヘキサフルオロプロパン(C)である、請求項1に記載の方法。
  3. 前記ヒドロフルオロカーボンエッチングガスが1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)である、請求項1に記載の方法。
  4. 前記ヒドロフルオロカーボンエッチングガスが1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)である、請求項1に記載の方法。
  5. 前記ヒドロフルオロカーボンエッチングガスが1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)である、請求項1に記載の方法。
  6. 前記第1のエッチング層が酸化ケイ素層を含み、且つ前記第2のエッチング層が窒化ケイ素層を含み、且つ逆もまた同様である、請求項1〜5のいずれか一項に記載の方法。
  7. 前記ヒドロフルオロカーボンエッチングガスプラズマが、前記ハードマスク層に対して無限の選択性で前記第1のエッチング層及び前記第2のエッチング層の交互層をエッチングする、請求項1〜5のいずれか一項に記載の方法。
  8. 前記ヒドロフルオロカーボンエッチングガスプラズマが、約1:2〜約2:1、好ましくは約1:1の選別性で前記第2のエッチング層に対して前記第1のエッチング層をエッチングする、請求項1〜5のいずれか一項に記載の方法。
  9. 前記アパーチャーの側壁が、0〜約5%未満のボーリングを有する、請求項1〜5のいずれか一項に記載の方法。
  10. 前記アパーチャーが、約1:1〜約200:1のアスペクト比を有する、請求項1〜5のいずれか一項に記載の方法。
  11. プラズマエッチングのため、及び凝縮を避けるために、前記ヒドロフルオロカーボンエッチングガスの前もって決定された流量を維持するために、前記ヒドロフルオロカーボンエッチングガスを加熱するステップをさらに含む、請求項1〜5のいずれか一項に記載の方法。
  12. 酸素含有ガスを添加するステップ、不活性ガスを添加するステップ又はその組合せをさらに含む、請求項1〜5のいずれか一項に記載の方法。
  13. cC、C、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、FNO、SO及びそれらの組合せからなる群から選択される第2のエッチングガスを添加するステップをさらに含む、請求項1〜5のいずれか一項に記載の方法。
  14. 1,1,1,3,3,3−ヘキサフルオロプロパン(C)、1,1,2,2,3,3−ヘキサフルオロプロパン(iso−C)、1,1,1,2,3,3,3−ヘプタフルオロプロパン(CHF)及び1,1,1,2,2,3,3−ヘプタフルオロプロパン(iso−CHF)からなる群から選択される有機フッ素化合物を含むヒドロフルオロカーボンエッチング化合物であって、前記有機フッ素化合物が99.9体積%〜100体積%の純度及び0〜0.1体積%の微量ガス不純物を有し、前記微量ガス不純物中に含有されるヒドロフルオロカーボン及び酸素含有ガスの全含有量が体積で0〜150ppmである、ヒドロフルオロカーボンエッチング化合物。
  15. 前記酸素含有ガスが水であり、且つ前記プラズマエッチング化合物が重量で0〜20ppmの水含有量を有する、請求項14に記載のヒドロフルオロカーボンエッチング化合物。
JP2020530736A 2017-08-31 2018-08-28 多積層をエッチングするための化学的性質 Active JP7000575B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/692,247 2017-08-31
US15/692,247 US11075084B2 (en) 2017-08-31 2017-08-31 Chemistries for etching multi-stacked layers
PCT/IB2018/000954 WO2019043448A1 (en) 2017-08-31 2018-08-28 CHEMICAL COMPOSITIONS FOR THE ETCHING OF STACKED MULTIPLE LAYERS

Publications (2)

Publication Number Publication Date
JP2020533809A true JP2020533809A (ja) 2020-11-19
JP7000575B2 JP7000575B2 (ja) 2022-02-04

Family

ID=60660904

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020530736A Active JP7000575B2 (ja) 2017-08-31 2018-08-28 多積層をエッチングするための化学的性質

Country Status (6)

Country Link
US (1) US11075084B2 (ja)
JP (1) JP7000575B2 (ja)
KR (1) KR102398461B1 (ja)
CN (1) CN111052318B (ja)
TW (1) TWI781210B (ja)
WO (1) WO2019043448A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022234647A1 (ja) * 2021-05-07 2022-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022234648A1 (ja) * 2021-05-07 2022-11-10 東京エレクトロン株式会社 エッチング方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US11056348B2 (en) * 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US20190362983A1 (en) * 2018-05-23 2019-11-28 Applied Materials, Inc. Systems and methods for etching oxide nitride stacks
JP7129482B2 (ja) * 2018-08-21 2022-09-01 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN112424913A (zh) * 2018-10-26 2021-02-26 玛特森技术公司 用于去除硬掩模的基于水蒸气的含氟等离子体
US11270890B2 (en) * 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
US10923478B2 (en) * 2019-01-28 2021-02-16 Micron Technology, Inc. Reduction of roughness on a sidewall of an opening
CN111524780A (zh) * 2019-02-02 2020-08-11 中微半导体设备(上海)股份有限公司 一种用于超深宽比刻蚀的等离子反应器及其刻蚀方法
US11538822B2 (en) * 2019-06-18 2022-12-27 Micron Technology, Inc. Integrated assemblies having metal-containing liners along bottoms of trenches, and methods of forming integrated assemblies
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
US11527549B2 (en) 2019-10-04 2022-12-13 SK Hynix Inc. Memory device and method of manufacturing the same
US11322518B2 (en) * 2019-10-04 2022-05-03 SK Hynix Inc. Memory device and method of manufacturing the same
US11521846B2 (en) 2019-12-16 2022-12-06 Taiwan Semiconductor Manufacturing Company Limited Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same
JP2022034956A (ja) * 2020-08-19 2022-03-04 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11355464B2 (en) * 2020-11-10 2022-06-07 Nanya Technology Corporation Semiconductor device structure with bottle-shaped through silicon via and method for forming the same
CN112635475B (zh) * 2020-12-18 2024-05-24 长江存储科技有限责任公司 一种堆叠结构及其制备方法
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
WO2022190809A1 (ja) * 2021-03-09 2022-09-15 昭和電工株式会社 エッチングガス及びエッチング方法
US11295960B1 (en) * 2021-03-09 2022-04-05 Hitachi High-Tech Corporation Etching method
KR20220146239A (ko) * 2021-04-23 2022-11-01 삼성전자주식회사 하드 마스크 구조체를 포함하는 반도체 소자
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features
US11594420B1 (en) * 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
WO2023069410A1 (en) * 2021-10-18 2023-04-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching methods using silicon-containing hydrofluorocarbons
WO2023249899A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation High aspect ratio etch with a metal or metalloid containing mask
WO2024044218A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a liner
WO2024044216A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a non-uniform metal or metalloid containing mask
US20240096641A1 (en) * 2022-09-20 2024-03-21 Applied Materials, Inc. In-situ carbon liner for high aspect ratio features

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001517868A (ja) * 1997-09-19 2001-10-09 アプライド マテリアルズ インコーポレイテッド フルオロプロペンまたはフルオロプロピレンを用いた酸化物の選択的エッチングプラズマ処理
JP2002500443A (ja) * 1997-12-31 2002-01-08 アライドシグナル・インコーポレイテッド 地球温暖化影響を減少させたヒドロフルオロカーボンエッチング化合物
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
JP2016139782A (ja) * 2015-01-23 2016-08-04 セントラル硝子株式会社 ドライエッチング方法
WO2017026197A1 (ja) * 2015-08-12 2017-02-16 セントラル硝子株式会社 ドライエッチング方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3198538B2 (ja) * 1991-05-24 2001-08-13 ソニー株式会社 ドライエッチング方法
US5176790A (en) 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
US6015761A (en) 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US20080041526A1 (en) * 2006-08-16 2008-02-21 Pass Thomas P Single-sided etching
JP5434970B2 (ja) 2010-07-12 2014-03-05 セントラル硝子株式会社 ドライエッチング剤
US8945996B2 (en) * 2011-04-12 2015-02-03 Micron Technology, Inc. Methods of forming circuitry components and methods of forming an array of memory cells
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001517868A (ja) * 1997-09-19 2001-10-09 アプライド マテリアルズ インコーポレイテッド フルオロプロペンまたはフルオロプロピレンを用いた酸化物の選択的エッチングプラズマ処理
JP2002500443A (ja) * 1997-12-31 2002-01-08 アライドシグナル・インコーポレイテッド 地球温暖化影響を減少させたヒドロフルオロカーボンエッチング化合物
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
JP2016139782A (ja) * 2015-01-23 2016-08-04 セントラル硝子株式会社 ドライエッチング方法
WO2017026197A1 (ja) * 2015-08-12 2017-02-16 セントラル硝子株式会社 ドライエッチング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022234647A1 (ja) * 2021-05-07 2022-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022234648A1 (ja) * 2021-05-07 2022-11-10 東京エレクトロン株式会社 エッチング方法

Also Published As

Publication number Publication date
US20170365487A1 (en) 2017-12-21
US11075084B2 (en) 2021-07-27
CN111052318A (zh) 2020-04-21
WO2019043448A1 (en) 2019-03-07
CN111052318B (zh) 2024-05-28
TW201912619A (zh) 2019-04-01
KR102398461B1 (ko) 2022-05-13
KR20200037402A (ko) 2020-04-08
JP7000575B2 (ja) 2022-02-04
TWI781210B (zh) 2022-10-21

Similar Documents

Publication Publication Date Title
JP7000575B2 (ja) 多積層をエッチングするための化学的性質
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
JP6811284B2 (ja) 3d nandフラッシュメモリの製造方法
JP6906107B2 (ja) 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン
JP6871233B2 (ja) シリコン含有膜をエッチングするための方法
JP6775569B2 (ja) 半導体製造プロセスチャンバの操作方法
CN111512420A (zh) 用于3d nand器件应用的用非等离子体干式工艺进行的sin对sio2的选择性蚀刻
KR102546860B1 (ko) 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
JP6788177B2 (ja) ドライエッチング方法、ドライエッチング剤及び半導体装置の製造方法
JP2023531687A (ja) 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200219

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200219

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20200612

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20200615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211223

R150 Certificate of patent or registration of utility model

Ref document number: 7000575

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150