TWI642809B - 用蝕刻氣體蝕刻半導體結構的方法 - Google Patents

用蝕刻氣體蝕刻半導體結構的方法 Download PDF

Info

Publication number
TWI642809B
TWI642809B TW106143385A TW106143385A TWI642809B TW I642809 B TWI642809 B TW I642809B TW 106143385 A TW106143385 A TW 106143385A TW 106143385 A TW106143385 A TW 106143385A TW I642809 B TWI642809 B TW I642809B
Authority
TW
Taiwan
Prior art keywords
cas
chf
compound
cfh
etching
Prior art date
Application number
TW106143385A
Other languages
English (en)
Other versions
TW201812101A (zh
Inventor
拉吾爾 古普達
凡卡特斯瓦拉R 帕雷姆
維傑 蘇爾拉
柯堤斯 安德森
納坦 史特佛
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201812101A publication Critical patent/TW201812101A/zh
Application granted granted Critical
Publication of TWI642809B publication Critical patent/TWI642809B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/01Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton
    • C07C323/02Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton having sulfur atoms of thio groups bound to acyclic carbon atoms of the carbon skeleton
    • C07C323/03Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton having sulfur atoms of thio groups bound to acyclic carbon atoms of the carbon skeleton the carbon skeleton being acyclic and saturated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00404Mask characterised by its size, orientation or shape

Abstract

本發明揭示用於在基板上之含Si層中電漿蝕刻通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等的含硫化合物及使用其之電漿蝕刻方法。該等電漿蝕刻化合物可提供該等含Si層與遮罩材料之間改良之選擇性,對通道區域更少的損壞,筆直垂直輪廓,及圖案高縱橫比結構中減少之彎曲。

Description

用蝕刻氣體蝕刻半導體結構的方法 【相關申請案】
本申請案主張於2013年9月9日申請之美國臨時申請案第61/875,321號之權益,該案出於所有目的以全文引用的方式併入本文中。
揭示用於在基板上之含Si層中電漿蝕刻通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等的含硫化合物及使用其之電漿蝕刻方法。
在半導體工業中之記憶體應用(諸如DRAM及2D NAND)中,電漿蝕刻自半導體基板移除含矽層(諸如SiO或SiN層)。對於新穎記憶體應用,諸如3D NAND(US 2011/0180941),多個SiO/SiN或SiO/poly-Si層之堆疊之蝕刻為決定性的。較佳地,蝕刻劑具有遮罩與受蝕刻層之間的高選擇性。此外,蝕刻劑較佳蝕刻結構使得垂直輪廓為筆直的而不彎曲。3D NAND堆疊可包括其他含矽層。
傳統上,使用自氣體源(諸如含氫、氧或氟之氣體)生成活性物質之電漿源進行電漿蝕刻。活性物質隨後與含Si層反應以形成揮發性 物質。藉由反應器中由真空泵維持之低壓來移除揮發性物質。較佳地,遮罩材料不受活性物質蝕刻。遮罩材料可包含以下一者:光阻劑、非晶碳(a-C)、多晶矽(polySi)、金屬或其他不受蝕刻之硬質遮罩。
傳統蝕刻氣體包括cC4F8(八氟環丁烷)、C4F6(六氟-1,3-丁二烯)、CF4、CH2F2、CH3F及/或CHF3。此等蝕刻氣體亦可在蝕刻期間形成聚合物。聚合物充當圖案蝕刻結構之側壁上之保護層或鈍化層。此聚合物鈍化層防止離子及自由基蝕刻側壁,該蝕刻可導致不垂直結構、彎曲及尺寸變化。在此項技術中熟知選擇性及聚合物沈積速率隨著C:F之比率增加而增加(亦即C4F6>C4F8>CF4)。參見例如Hung等人之US6387287。
傳統蝕刻化學物質可能不提供新應用中所必需的高縱橫比(>20:1),此至少歸因於在電漿蝕刻製程期間側壁上之不充分聚合物沈積。另外,側壁上之CxFy聚合物對蝕刻敏感。因此,所蝕刻之圖案可能不垂直且結構可能展示彎曲、尺寸變化及/或圖案崩塌。
彎曲可由常常為非晶碳材料之遮罩層之側壁蝕刻產生。可藉由電漿中之氧自由基來蝕刻非晶碳材料,該等氧自由基可導致增加之遮罩開口且產生彎曲狀或成角/曲線蝕刻結構。
過去已將含硫氣體如COS(碳醯硫)及SO2(二氧化硫)與氧電漿組合用於在圖案蝕刻製程中蝕刻非晶碳層。硫可於非晶碳上提供鈍化層以有助於保護表面免於氧自由基且因此有助於防止彎曲狀結構。舉例而言,Kim等人(J.Vac.Sci.Technol.A 31(2),2013年3月/4月)揭示在O2與5% COS之氣體混合物中所蝕刻的50nm非晶碳孔與未用COS所蝕刻的非晶碳孔相比產生更異向性蝕刻輪廓且使頂部/底部開口比率提高約 37%。
Rusu等人(US7645707)描述使用包含氟組分、O2及硫組分氣體之蝕刻劑氣體來蝕刻介電層之過程。硫組分氣體較佳為H2S、COS或CS2
Yanagida(US5376234)揭示一種乾式蝕刻方法,其中將一種選自各自具有氟碳側鏈之硫醇、硫醚及二硫化物的化合物用作蝕刻氣體之主要組分。提供將C2F6S2用於蝕刻SiO2層間絕緣膜之實例。
Behr等人之US2003/0019841揭示向清洗或蝕刻氣體中添加全氟化合物(諸如CF3SF5)。
Samsung Electronics有限公司之KR10-2001/010568揭示使用含硫氟碳氣體(諸如C4F8S、C3F6S及C3F6S2)乾式蝕刻氧化物膜。
亦已提議將含有硫代羰基(>C=S)及氟原子之分子用於不同蝕刻製程。參見例如Sony公司之JP06-151384、JP06-258815及JP07-211694。
仍需要用於電漿應用之新蝕刻氣體組成物。
記號及命名法
在以下說明書及申請專利範圍通篇中使用某些縮寫、符號及術語,且其包括:如本文所用,不定冠詞「一(a/an)」意謂一或多個(種)。
如本文所用,術語「大致(approximately)」或「約(about)」意謂所述值之±10%。
如本文所用,術語「獨立地(independently)」在用於描述R 基團之情形中時應理解為表示目標R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,而且亦相對於任何其他種類之該相同R基團獨立地選擇。舉例而言,在式MR1 x(NR2R3)(4-x)(其中x為2或3)中,兩個或三個R1基團可(但無需)彼此或與R2或R3相同。另外,應理解除非另外特定陳述,否則當用於不同式中時R基團之值彼此獨立。
如本文所用,術語「烷基」係指僅僅含有碳及氫原子之飽和官能基且術語「氟烷基」係指僅僅含有碳及氟以及視情況存在之氫(亦即氟烷基可經部分或完全氟化)的飽和官能基。此外,術語「烷基」及「氟烷基」係指直鏈、分支鏈或環狀基團。直鏈烷基之實例包括(但不限於)甲基、乙基、丙基、丁基等。直鏈氟烷基之實例包括CF3-、CF2H-、-CF2-CF3或-CFH-CF3。分支鏈烷基之實例包括(但不限於)第三丁基。環狀烷基之實例包括(但不限於)環丙基、環戊基、環己基等。環狀基團及化合物由式前之「c」及在環的相反末端處之「-」指示(亦即c(-CH2-CH-CH2-)應為環丙基且c(-CH2-CH2-CH2-)應為環丙烷)。
如本文所用,術語「蝕刻(etch)」或「蝕刻(etching)」係指電漿蝕刻製程(亦即乾式蝕刻製程),其中離子轟擊加速垂直方向中之化學反應,使得沿著經遮蔽特徵之邊緣以與基板成直角而形成垂直側壁(Manos及Flamm,Plasma Etching An Introduction,Academic Press公司1989第12-13頁)。蝕刻製程在基板中產生孔口,諸如通孔、溝槽、通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。
術語「圖案蝕刻(pattern etch)」或「圖案化蝕刻(patterned etch)」係指在含矽層之堆疊上蝕刻非平面結構,諸如圖案化遮罩層。
術語「選擇性(selectivity)」意謂一種材料之蝕刻速率與另一種材料之蝕刻速率之比率。術語「選擇性蝕刻(selective etch)」或「選擇性地蝕刻(selectively etch)」意謂蝕刻一種材料多於另一種材料,或換言之具有大於或小於1:1之兩種材料之間的蝕刻選擇性。
如本文所用,縮寫「NAND」係指「負及(Negated AND)」或「反及(Not AND)」閘極;縮寫「2D」係指平面基板上之2維閘極結構;縮寫「3D」係指3維或垂直閘極結構,其中該等閘極結構在垂直方向堆疊;且縮寫「DRAM」係指動態隨機存取記憶體。
本文使用元素週期表之元素的標準縮寫。應理解元素可藉由此等縮寫指代(例如,S係指硫,Si係指矽,H係指氫等)。
提供由化學文摘社(Chemical Abstract Service)指定的唯一CAS登記號(亦即「CAS」)以有助於更佳地標識所揭示之分子。
請注意在說明書及申請專利範圍通篇中列舉含Si膜(諸如SiN及SiO)而不提及其適當的化學計算。含矽層可包括諸如結晶Si、多晶矽(polySi或多晶Si)或非晶矽之純矽(Si)層;氮化矽(SikNl)層;或氧化矽(SinOm)層;或其混合物,其中k、l、m及n包括在1至6範圍內。較佳地,氮化矽為SikNl,其中k及l各自在0.5至1.5範圍內。更佳地,氮化矽為SilNl。較佳地,氧化矽為SinOm,其中n在0.5至1.5範圍內且m在1.5至3.5範圍內。更佳地,氧化矽為SiO2或SiO3。含矽層亦可為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k介電材料,諸如Applied Materials公司之Black Diamond II或III材料。含矽層亦可包括摻雜劑,諸如B、C、P、As及/或Ge。
揭示電漿蝕刻基板上之含矽層之方法。將化合物之蒸氣引入至含有基板上的含矽層之腔室中。該化合物具有選自由以下組成之群的式:R1-SH
R2-S-R3
C2F4S2(CAS 1717-50-6)
其中R1、R2及R3各自獨立地為飽和C1至C4烷基或氟烷基且R2與R3可接合以形成5員或6員含S環。將惰性氣體引入至腔室中。生成電漿以自蒸氣產生經活化蒸氣。經活化蒸氣選擇性地與含矽層反應以形成揮發性副產物。自腔室移除揮發性副產物。所揭示之方法可包括以下態樣中之一或多者:●化合物為C2F4S2(CAS 1717-50-6);●化合物具有式R1-SH;●化合物為F3C-SH(CAS 1493-15-8);●化合物為F3C-CF2-SH(CAS 1540-78-9);●化合物為F3C-CH2-SH(CAS 1544-53-2);●化合物為CHF2-CF2-SH(CAS 812-10-2);●化合物為CF3-CF2-CH2-SH(CAS 677-57-6);●化合物為F3C-CH(SH)-CF3(CAS 1540-06-3);●化合物具有式R2-S-R3;●化合物為F3C-S-CF3(CAS 371-78-8);●化合物為F3C-S-CHF2(CAS 371-72-2); ●化合物為F3C-CF2-S-CF2-CF3(CAS 155953-22-3);●化合物為F3C-CF2-CF2-S-CF2-CF2-CF3(CAS 356-63-8);●R2與R3接合以形成5員至6員含S環;●化合物為c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5);●化合物為c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8);●化合物為c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6);●化合物為c(-S-CFH-CF2-CF2-CFH-)(2 R,5 R)(CAS 1507363-75-8);●化合物為c(-S-CFH-CF2-CF2-CFH-)(2 R,5 S)(CAS 1507363-76-9);●化合物為c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0);●惰性氣體係選自由以下組成之群:He、Ar、Xe、Kr、Ne及其組合;●惰性氣體為Ar;●在引入至腔室之前混合蒸氣與惰性氣體以產生混合物;●與惰性氣體分開地將蒸氣引入至腔室中;●混合物包含在大致50% v/v與大致95% v/v之間的惰性氣體;●將惰性氣體持續引入至腔室且將蒸氣以脈波形式引入至腔室;●將氧化劑引入至腔室中;●未將氧化劑引入至腔室中;●氧化劑係選自由以下組成之群:O2、O3、CO、CO2、NO、N2O、NO2及其組合;●在引入至腔室之前混合蒸氣與氧化劑;●與氧化劑分開地將蒸氣引入至腔室中;●將氧化劑持續引入至腔室且將蒸氣以脈波形式引入至腔室; ●將大致5% v/v至大致100% v/v之氧化劑引入至腔室中;●含矽層包含氧化矽、氮化矽、多晶矽或其組合之層;●含矽層進一步包含氧原子、氮原子、碳原子或其組合;●含矽層不包含碳化矽;●含矽層為氧化矽層;●自非晶碳層選擇性地蝕刻氧化矽層;●自光阻層選擇性地蝕刻氧化矽層;●自多晶矽層選擇性地蝕刻氧化矽層;●自金屬接觸層選擇性地蝕刻氧化矽層;●自SiN層選擇性地蝕刻氧化矽層;●含矽層為氮化矽層;●自非晶碳層選擇性地蝕刻氮化矽層;●自圖案化光阻層選擇性地蝕刻氮化矽層;●自多晶矽層選擇性地蝕刻氮化矽層;●自金屬接觸層選擇性地蝕刻氮化矽層;●自SiO層選擇性地蝕刻氮化矽層;●在含矽層中產生具有在大致10:1與大致100:1之間的縱橫比之孔口;●產生閘極溝槽;●產生階梯式接點;●產生通道孔;●產生具有在大致60:1與大致100:1之間的縱橫比之通道孔; ●產生具有在大致40nm至大致50nm範圍內之直徑的通道孔;●將蝕刻氣體引入至腔室中;●蝕刻氣體係選自由以下組成之群:cC5F8、cC4F8、C4F8、C4F6、CF4、CHF3、CF3H、CH2F2、COS、CS2;CF3I;C2F3I;C2F5I;SO2;反-1,1,1,4,4,4-六氟-2-丁烯;順-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯;六氟環丁烷(反-1,1,2,2,3,4);五氟環丁烷(1,1,2,2,3-);四氟環丁烷(1,1,2,2-);及六氟環丁烷(順-1,1,2,2,3,4);●蝕刻氣體為cC5F8;●蝕刻氣體為cC4F8;●蝕刻氣體為C4F6;●在引入至腔室之前混合蒸氣與蝕刻氣體;●與蝕刻氣體分開地將蒸氣引入至腔室中;●將大致1% v/v至大致99.9% v/v之蝕刻氣體引入至腔室中;●藉由在大致25W至大致10,000W範圍內之射頻功率使電漿活化;●腔室具有在大致1毫托至大致10托範圍內之壓力;●以在大致0.1sccm至大致1slm範圍內之流動速率將蒸氣引入至腔室;●使基板維持在大致-196℃至大致500℃範圍內之溫度下;●使基板維持在大致-120℃至大致300℃範圍內之溫度下;●使基板維持在大致10℃至大致40℃範圍內之溫度下;●使基板維持在大致-100℃至大致50℃範圍內之溫度下;●藉由四極質譜儀、光學發射光譜儀、FTIR或其他自由基/離子量測 工具來量測經活化蒸氣;●藉由施加射頻功率產生電漿。
為了進一步理解本發明之本質及目標,應參考結合隨附圖式作出之以下詳細描述,其中對類似元件提供相同或相似之參考編號,且其中:圖1為展示NAND堆疊中之例示性層之圖式;圖1a為展示在蝕刻期間NAND堆疊中之側壁上所沈積之聚合物的圖式;圖2為展示DRAM堆疊中之例示性層之圖式;圖3為繪製由C2H3F3S產生之物質餾份之體積(以托計)對比能量(以eV計)之質譜(MS)圖表;圖4為繪製由C2F4S2生成之物質餾份之體積(以托計)對比能量(以eV計)的MS圖表;圖5為繪製由C2F6S2生成之物質餾份之體積(以托計)對比能量(以eV計)的比較MS圖表;圖6為隨後的實施例中所用的蝕刻器之示意性圖式;圖7為自C2H3F3S沈積的聚合物中之原子的X射線光電子光譜學(X-ray photoelectron spectroscopy,XPS)圖表;圖8A為由C2H3F3S沈積之聚合物膜之掃描電子顯微鏡(Scanning Electron Microscope,SEM)圖片;圖8B為由C2H3F3S沈積的聚合物膜之同一SEM圖片,其中添加線以 更佳地標記各個層之邊界;圖9為試圖於其上自C2F6S2沈積聚合物之Si試片中之原子的XPS圖表;圖10為作為氧氣流動速率的函數而繪製的C2H3F3S對於SiO2、SiN、p-Si及a-C之蝕刻速率之圖表;圖11為作為CF4流動速率的函數而繪製的C2H3F3S與CF4之組合對於SiO2、SiN、p-Si及a-C之蝕刻速率的圖表;圖12為作為氧氣流動速率的函數而繪製的C2F4S2對於SiO2、SiN、p-Si及a-C之蝕刻速率的圖表;圖13為作為氧氣流動速率的函數而繪製的cC4F8對於SiO2、SiN、p-Si及a-C之蝕刻速率的圖表;圖14為作為氧氣流動速率的函數而繪製的C4F6對於SiO2、SiN、p-Si及a-C之蝕刻速率的圖表;及圖15為作為CF4流動速率的函數而繪製的cC4F8與CF4之組合對於SiO2、SiN、p-Si及a-C之蝕刻速率的圖表。
揭示用於在含矽層中電漿蝕刻通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等的含硫化合物。所揭示之蝕刻化合物可提供針對遮罩層之較高選擇性且在高縱橫比結構中無輪廓失真。
電漿蝕刻化合物可提供在含Si層與遮罩材料之間改良之選擇性、對通道區域更少的損壞,及圖案高縱橫比結構中減少之彎曲。電漿蝕刻化合物亦可蝕刻通過polySi、SiO及/或SiN之交替層,產生垂直蝕刻 輪廓。
所揭示之含硫化合物具有以下各式中之一者:R1-SH
R2-S-R3
C2F4S2(CAS 1717-50-6)
其中R1、R2及R3各自獨立地為飽和C1至C4烷基或氟烷基且R2與R3可接合以形成5員或6員含S環。
在一個替代方案中,含硫化合物為C2F4S2(CAS 1717-50-6)。此化合物為可購得的。申請人咸信此化合物之環狀結構將在電漿製程期間產生適合於各向異性地蝕刻含Si層並於受蝕刻之結構的側壁上沈積含S聚合物鈍化層之片段(參見圖1a)。
在另一替代方案中,化合物具有式R1-SH,其中R1為飽和C1至C4烷基或氟烷基。此等化合物可購得或在一步法中藉由在室溫下在高壓釜中由過量硫化氫還原相應次磺醯氯合成(參見例如Bekker等人,Phosphorus,Sulfur,and Silicon and the Related Elements(1996)119,161-68)。或者,在2-步製程中R1-S-Cl及Cl2-P-O-CH3可相繼與PSCl3、H2O反應(參見例如Haas及Kortmann,Zeitschrift fuer Anorganische und Allgemeine Chemie,501,79-88,1983)。
申請人咸信R1-SH之封端SH提供電漿中之較輕含S片段,從而使含S聚合物在蝕刻製程期間更有效地於非晶碳側壁上沈積鈍化層,進而保護硬質遮罩輪廓之完整性且產生所需蝕刻輪廓。含S聚合物鈍化層中H之存在亦可增強聚合物,使得其與含有較少H之層相比對來自蝕刻環 境的損壞更具有抵抗性。例示性的具有式R1-SH之化合物包括F3CSH(CAS 1493-15-8)、F3C-CF2-SH(CAS 1540-78-9)、F3C-CH2-SH(CAS 1544-53-2)、CHF2-CF2-SH(CAS 812-10-2)、CF3-CF2-CH2-SH(CAS 677-57-6)及F3C-CH(SH)-CF3(CAS 1540-06-3)。
在另一替代方案中,化合物具有式R2-S-R3,其中R2及R3各自獨立地為飽和C1至C4烷基或氟烷基且R2與R3可接合以形成5員或6員含S環。申請人咸信在此等分子中S呈其+2氧化態會提供產生比S呈較高氧化態之化合物所產生之片段更佳的適合於形成側壁聚合物鈍化層之片段之能力。R2-S-R3分子中一個硫原子與兩個或兩個以上碳原子之組合亦可產生可在蝕刻製程期間更佳地保護側壁免於損壞之富含碳的含S聚合物鈍化層。
例示性的具有式R2-S-R3之直鏈分子包括F3C-S-CF3(CAS 371-78-8)、F3C-S-CHF2(CAS 371-72-2)、F3C-CF2-S-CF2-CF3(CAS 155953-22-3)及F3C-CF2-CF2-S-CF2-CF2-CF3(CAS 356-63-8)。此等化合物可購得或藉由使Hg(SCF3)2與MeI反應來合成(參見例如Yu等人,Inorganic Chemistry(1974),13(2),484-6)。或者,化合物可藉由CF3SOC(O)Me之光解來合成(參見同上)。
例示性的具有式R2-S-R3之環狀分子包括c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5)、c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8)、c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 R)(CAS 1507363-75-8)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 S)(CAS 1507363-76-9)及 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0)。此等化合物可藉由使用四氟鈷(III)酸鉀氟化相似的不飽和含S環結構來合成(參見例如Coe,e-EROS Encyclopedia of Reagents for Organic Synthesis,未提供頁碼;2001)。或者,此等化合物可藉由單位結構-(CF2)mS(CF2)nS-之共聚物之真空熱解來合成。-(CF2)mS(CF2)nS-共聚物可藉由使F2C:CF2與CSF2或四氟環硫乙烷反應來生成(參見例如James及Rowsell,Journal of the Chemical Society[Section]D:Chemical Communications(1969)(21),1274-5)。
所揭示之化合物適合於在一或多個含Si層中電漿蝕刻通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等且與遮罩材料之當前代及下一代相容,此係因為其極少誘導至不誘導遮罩上之損壞並且其誘導高縱橫比結構之良好輪廓。為了獲得彼等性質,所揭示之化合物中所含有的S原子可在蝕刻期間沈積耐蝕刻聚合物層且有助於在蝕刻製程期間減輕氧及氟自由基之直接影響。所揭示之化合物亦可在蝕刻期間減輕對poly-Si通道結構之損壞(參見US 2011/0180941)。較佳地,含S化合物在蝕刻製程期間為適當揮發性的且穩定的以便傳遞至反應器/腔室中。
材料相容性測試對於確定含S化合物中之任一者是否將與腔室材料反應且在短期或長期使用情況下使其效能降級而言至關重要。包含在腔室、閥門等之零件中的關鍵材料包括不鏽鋼、鋁、鎳、PCTFE、PVDF、PTFE及其他金屬以及聚合物。有時此等材料曝露於較高溫度及壓力,這可促進其降解。計量方法可包括目視檢驗、重量量測、量測SEM中奈米尺度變化、拉伸強度、硬度等。
所揭示之含硫化合物可用以電漿蝕刻基板上之含矽層。所揭 示之電漿蝕刻方法可適用於製造半導體裝置,諸如NAND或3D NAND閘極或快閃記憶體或DRAM記憶體。其他應用領域包括該電漿蝕刻方法在不同前段製程(front end of the line,FEOL)及後段製程(back end of the line,BEOL)蝕刻應用中之使用。另外,其亦可包括蝕刻Si以供用於邏輯基板上之互連記憶體基板之3D TSV(矽穿孔)蝕刻應用。
電漿蝕刻方法包括提供具有安置於其中之基板的反應器。反應器可為其中進行蝕刻方法之裝置內之任何罩殼或腔室,諸如(且不限於)反應性離子蝕刻(Reactive Ion Etching,RIE)、具有單頻或多頻射頻源之雙電容耦合電漿(Dual Capacitively Coupled Plasma,CCP)、感應耦合電漿(Inductively Coupled Plasma,ICP)或微波電漿反應器或能夠選擇性地移除含Si層之一部分或產生活性物質之其他類型蝕刻系統。一般熟習此項技術者將認識到不同反應器設計提供不同電子溫度控制。適合之可購得反應器包括(但不限於)以商標eMAXTM出售之Applied Materials磁力增強反應性離子蝕刻器或以商標2300® FlexTM出售之Lam Research Dual CCP反應性離子蝕刻器介電蝕刻產品家族。
反應器可含有一個或多於一個基板。舉例而言,反應器可含有1至200個具有25.4mm至450mm直徑之矽晶圓。或者,含S化合物可用以自反應器壁移除含Si基板。基板一般定義為在其上進行製程之材料。基板可為用於半導體、光伏、平板或LCD-TFT裝置製造之任何適合之基板。典型地,基板將為其上具有多個層之圖案化基板。適合層之實例包括(但不限於)矽(諸如非晶矽、多晶矽、晶體矽,其中任一者可進一步經p-摻雜或n-摻雜)、二氧化矽、氮化矽、氧化矽、氮氧化矽、鎢、氮化鈦、 氮化鉭、諸如非晶碳之遮罩材料、抗反射塗層、光阻材料或其組合。另外,可使用包含鎢或貴金屬(例如鉑、鈀、銠或金)之層。
基板可包括於其上之多個層之堆疊,類似於展示於圖1中者。在圖1中,七個SiO/SiN層之堆疊位於矽晶圓基板之頂部上(亦即ONON或TCAT技術)。一般熟習此項技術者將認識到一些技術用polySi層替換SiN層(亦即SiO/polySi或P-BICS技術)。非晶碳遮罩層位於七個SiO/SiN層之頂部上。抗反射塗層位於非晶碳遮罩之頂部上。圖案光阻層位於抗反射塗層之頂部上。圖1中之層堆疊反映類似於用以產生3D NAND閘極之彼等層之層。一般熟習此項技術者將認識到僅僅出於例示性目的而提供圖1中之層堆疊且所揭示之含硫化合物可用以蝕刻其他層堆疊。此外,一般熟習此項技術者將認識到堆疊中之SiO/SiN或SiO/poly-Si層之數目可改變(亦即可包括多於或少於七個所描繪之SiO/SiN層)。
或者,基板可包括於其上之多個層之堆疊,類似於展示於圖2中者。在圖2中,四個層之堆疊位於矽晶圓基板之頂部上。非晶碳遮罩層位於大SiO層之頂部上。抗反射塗層位於非晶碳遮罩之頂部上。圖案光阻層位於抗反射塗層之頂部上。圖2中之層堆疊反映類似於用以產生DRAM記憶體之彼等層之層。一般熟習此項技術者將認識到僅僅出於例示性目的而提供圖2中之層堆疊且所揭示之含硫化合物可用以蝕刻其他層堆疊。此外,一般熟習此項技術者將認識到堆疊中之層之數目可改變(亦即可包括多於或少於四個所描繪的層)。
將所揭示之含硫化合物之蒸氣引入至含有基板及含矽層之腔室中。可以在大致0.1sccm至大致1slm範圍內之流動速率將蒸氣引入至 腔室。舉例而言,對於200mm晶圓大小,可以在大致5sccm至大致50sccm範圍內之流動速率將蒸氣引入至腔室。或者,對於450mm晶圓大小,可以在大致25sccm至大致250sccm範圍內之流動速率將蒸氣引入至腔室。一般熟習此項技術者將認識到流動速率將根據不同工具而改變。
所揭示之含硫化合物可以純淨形式或以與適合之溶劑(諸如乙苯、二甲苯、均三甲苯、癸烷或十二烷)的摻合物形式來提供。所揭示之含硫化合物可以不同濃度存在於溶劑中。可藉由經由習知汽化步驟(諸如直接汽化或藉由鼓泡)汽化純淨的或經摻合的含硫化合物溶液來產生含硫化合物之蒸氣形式。可將純淨的或經摻合的含S化合物以液態饋入至在將其引入至反應器中之前使其汽化之汽化器。或者,可藉由傳送載氣至含有所揭示之含S化合物之容器中或藉由鼓泡該載氣至所揭示之含S化合物中來汽化純淨的或經摻合的含S化合物。載氣可包括(但不限於)Ar、He、N2及其混合物。用載氣鼓泡亦可移除存在於純淨的或經摻合的含S化合物溶液中之任何溶解氧。隨後將載氣及所揭示之含S化合物作為蒸氣引入至反應器中。
若需要,則可將含有所揭示之含S化合物之容器加熱至使得含S化合物呈液相且具有足夠蒸氣壓之溫度。容器可維持在例如大致0℃至大致150℃範圍內之溫度下。熟習此項技術者認識到可以已知方式調節容器之溫度以控制含S化合物汽化量。
藉由電漿活化所揭示之含S化合物之蒸氣以產生經活化蒸氣。電漿使含S化合物分解成自由基形式(亦即經活化含S化合物)。可藉由施加射頻或直流功率來生成電漿。可使用在約25W至約10,000W範圍 內之射頻功率來生成電漿。該電漿可在反應器自身內生成或存在。可用在兩個電極處施加之射頻以雙CCP或ICP模式來生成電漿。電漿之射頻頻率可在200KHz至1GHz範圍內。可在同一電極處耦合及施加不同頻率之不同射頻源。電漿射頻脈動可進一步用於控制基板處之分子斷裂及反應。熟習此項技術者將認識到適合於該電漿處理之方法及設備。
亦將惰性氣體引入至反應器中以便維持電漿。惰性氣體可為He、Ar、Xe、Kr、Ne或其組合。在引入至腔室之前可混合含S化合物之蒸氣與惰性氣體,其中惰性氣體佔所得混合物之大致50% v/v與大致95% v/v之間。或者,可將惰性氣體持續引入至腔室而將含S化合物之蒸氣以脈波形式引入至腔室。
四極質譜儀、光學發射光譜儀、FTIR或其他自由基/離子量測工具可量測經活化蒸氣以確定所產生的物質之類型及編號。若需要,則可調節蒸氣及/或惰性氣體之流動速率以增加或減少所產生之自由基物質之數目。
所揭示之含S化合物可在引入至反應腔室中之前或在反應腔室內與其他氣體混合。較佳地,該等氣體可在引入至腔室之前混合以便提供進入氣體之均一濃度。在另一替代方案中,諸如當兩種或兩種以上氣體反應時,可獨立於其他氣體將含S化合物之蒸氣引入至腔室中。
例示性氣體包括(但不限於)諸如O2、O3、CO、CO2、NO、N2O、NO2及其組合之氧化劑。含S化合物之蒸氣與氧化劑可在引入至腔室中之前混合在一起。或者,可將氧化劑持續引入至腔室中且將含S化合物之蒸氣以脈波形式引入至腔室中。氧化劑可佔引入至腔室中之混合物之大 致5% v/v至大致100% v/v之間(其中100% v/v代表針對持續引入替代方案之純氧化劑引入)。
可與含S化合物之蒸氣混合的其他例示性氣體包括其他蝕刻氣體,諸如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2;CF3I;C2F3I;C2F5I;SO2;反-1,1,1,4,4,4-六氟-2-丁烯;順-1,1,1,4,4,4-六氟-2-丁烯;六氟異丁烯;六氟環丁烷(反-1,1,2,2,3,4);五氟環丁烷(1,1,2,2,3-);四氟環丁烷(1,1,2,2-);或六氟環丁烷(順-1,1,2,2,3,4)。含S化合物之蒸氣與蝕刻氣體可在引入至腔室之前混合。蝕刻氣體可佔引入至腔室中之混合物之大致1% v/v至大致99.9% v/v之間。
含Si層與經活化蒸氣反應以形成自反應器移除的揮發性物質。非晶碳遮罩、抗反射塗層及光阻層可較少與所揭示之含硫化合物之蒸氣反應。
使反應器內之溫度及壓力保持在適合於含矽層與經活化含S氣體反應的條件下。舉例而言,視蝕刻參數所需,可使反應器中之壓力保持在大致0.1毫托與大致1000托之間,較佳在大致1毫托與大致10托之間,更佳在大致10毫托與大致1托之間,且更佳在大致10毫托與大致100毫托之間。類似地,反應器中之基板溫度可在大致-196℃至大致500℃之間,較佳在-120℃至大致300℃之間,且更佳在-10℃至大致40℃之間之範圍內。視製程需求而定,腔室壁溫度可在大致-196℃至大致300℃範圍內。
含Si層與經電漿活化的含S化合物之間的反應導致自基板移除含Si層。氮原子、氧原子及/或碳原子亦可存在於含Si層中。移除係歸因於利用電漿離子物理濺鍍含Si層(藉由電漿加速)及/或藉由電漿物質 之化學反應將Si轉化成揮發性物質(諸如SiFX,其中x在1-4範圍內)。
經電漿活化的含S化合物之蒸氣較佳地展現對於遮罩之高選擇性且蝕刻通過SiO與SiN之交替層而產生無彎曲之垂直蝕刻輪廓,此對於3D NAND應用至關重要。對於其他應用,諸如DRAM及2D NAND,例如經電漿活化的含S化合物之蒸氣可選擇性地自SiN蝕刻SiO。經電漿活化的含S化合物之蒸氣較佳地自遮罩層(諸如非晶碳、光阻劑、多晶矽或碳化矽);或自金屬接觸層(諸如Cu);或自由SiGe或多晶矽區域組成之通道區域選擇性地蝕刻SiO及/或SiN。
使用所揭示之含S化合物之所揭示之蝕刻製程在含Si層中產生通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所得孔口可具有在大致10:1與大致100:1範圍內之縱橫比及在大致40nm至大致50nm範圍內之直徑。舉例而言,一般熟習此項技術者將認識到通道孔蝕刻在含Si層中產生具有大於60:1之縱橫比的孔口。
在一個非限制性例示性電漿蝕刻製程中,使用經控制氣流裝置將C2F3H3S之蒸氣引入至200mm雙CCP電漿蝕刻工具中。該裝置可為質量流量控制器或鼓泡器設計,其中惰性氣體流動以傳遞所需分子之蒸氣。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD),MKS Instruments等之特定低壓降質量流量控制器。將電漿蝕刻工具之壓力設定為大致30毫托。不需要加熱氣體源,因為在室溫下此化合物之蒸氣壓為大致400托。將兩個CCP電極之間之距離保持在1.35cm且使頂部電極射頻功率固定在750W。改變底部電極射頻功率以分析分子效能。電漿蝕刻工具包括含有基板之腔室,該基板具有於其上之含Si層。 以250sccm流動速率將氬氣獨立地引入至腔室中。以15sccm將C2H3F3S獨立地引入至腔室中。以0-20sccm將O2獨立地引入至腔室中以確定最佳蝕刻條件。
實施例
提供以下非限制性實施例以進一步說明本發明之具體實例。然而,該等實施例不意欲包括所有且不意欲限制本文所述發明之範疇。
實施例1
藉由質譜法(MS)進行購自Sigma Aldrich之C2H3F3S(2,2,2-三氟乙硫醇或F3C-CH2-SH)之分析以研究電子衝擊離子化。C2H3F3S蝕刻劑流動通過質譜儀腔室及四極質譜儀(Hiden Analytical公司)偵測器,隨電子能量而變研究來自蝕刻氣體之片段。所得的繪製電漿物質餾份之體積(托)對比能量(eV)之MS圖表展示於圖3中。圖3展示C2H3F3S之主要片段為CH3S及CHS。此等片段缺乏氟且從而在到達基板之後易於聚合。
亦對C2H3F3S進行材料相容性測試。將C2H3F3S之蒸氣引入至其中具有不鏽鋼、鎳、鋁、PCTFE(聚氯三氟乙烯)、PVDF(聚偏二氟乙烯)及PTFE(聚四氟乙烯)之樣品的真空不鏽鋼容器中且在該真空不鏽鋼容器中分離。從而在分離之後容器中之壓力大致為C2H3F3S之蒸氣壓(在20℃下0.55巴)。使容器維持在此壓力及室溫下一個月。未觀察到樣品之降解。
實施例2
藉由質譜法(MS)進行購自SynQuest之C2F4S2(2,2,4,4-四氟-1,3-二硫雜環丁烷)之分析以研究電子衝擊離子化。C2F4S2蝕刻劑流動 通過實施例1中所用的同一質譜儀腔室及四極質譜儀偵測器以隨電子能量而變研究來自蝕刻氣體之片段。所得的繪製電漿物質餾份之體積(托)對比能量(eV)之MS圖表展示於圖4中。圖4展示C2F4S2之主要片段為CFS及CF2S。此等片段具有在片段中之硫且從而可能在到達基板之後聚合。
比較實施例1
進行購自Synquest之C2F6S2(雙(三氟甲基)二硫化物)之MS分析以研究電子衝擊離子化。C2F6S2蝕刻劑流動通過實施例1及實施例2中所用的同一質譜儀腔室及四極質譜儀(Hiden Analytical公司)偵測器以隨電子能量而變研究來自蝕刻氣體之片段。所得的繪製電漿物質餾份之體積(托)對比能量(eV)之MS圖表展示於圖5中。
比較圖3圖4圖5,由C2H3F3S及C2F4S2生成的含硫片段之豐度大於由C2F6S2所生成的含硫片段之豐度。此意謂C2H3F3S及C2F4S2將在電漿蝕刻製程期間提供更多含硫電漿物質以及平面及垂直層上之經改良鈍化膜。對於蝕刻更耐蝕刻的硫膜可產生更佳的蝕刻輪廓且實現高縱橫比蝕刻。
亦對C2F6S2進行材料相容性測試。將C2F6S2之蒸氣引入至其中具有不鏽鋼、鎳、鋁、PCTFE(聚氯三氟乙烯)、PVDF(聚偏二氟乙烯)及PTFE(聚四氟乙烯)之樣品的真空不鏽鋼容器中且在該真空不鏽鋼容器中分離。從而在分離之後容器中之壓力大致為C2F6S2之蒸氣壓(在20℃下0.6巴)。使容器維持在此壓力及室溫下一個月。未觀察到樣品之降解。
實施例3
於1×1cm2 Si試片上用C2H3F3S進行電漿氣相沈積測試。 在示意性地展示於圖6中之市售LAM 4520 XLE蝕刻器中進行該測試。該蝕刻器為配備有兩個實現數密度及離子能量之獨立控制的6吋電極之雙頻電容耦合電漿反應器。上部電極連接至實現數密度之獨立控制的27MHz電源(電源功率)。將Si試片置放於連接至實現離子能量之獨立控制的2MHz電源(偏壓功率)之溫度控制底部電極上。在電漿製程期間上部電極上之8吋矽簇射頭實現饋入氣體之均一分佈。
經由簇射頭藉助於250sccm之Ar氣體歷時60秒將15sccm C2H3F3S引入至蝕刻器中,該蝕刻器具有750W/0W偏壓、30毫托壓力及靜電夾盤之頂表面與簇射頭之底表面之間的1.35cm間隙。將90nm聚合物膜沈積於Si試片上(藉由掃描電子顯微鏡(SEM)在3個點量測)。因此,沈積速率為大致90nm/min。傳送樣品以用於X射線光電子光譜學(XPS)分析。所得的繪製X軸上的原子之電子結合能對比Y軸上的計數(所偵測的電子之數目)之XPS圖表展示於圖7中。圖7之寬掃描元素分析展示C、F、O及S峰之存在,且更特定言之在大致228ev處之S 2s峰及在大致164eV處之S 2p峰的存在。
沈積膜之一些部分包括粉末狀材料(參見圖8A圖8B)。在圖8A圖8B中在粉末顆粒下方可看到所沈積的均一膜。即使當施加1500W之偏壓功率時,若未添加氧氣,則在Si晶圓上觀察到粉末狀材料。一般熟習此項技術者將認識到可藉由改變製程參數(諸如偏壓功率及/或氧氣流動速率)來控制聚合物及粉末形成。
實施例4
於1×1cm2 Si試片上用C2F4S2進行電漿氣相沈積測試。在 實施例3中所用的同一市售LAM 4520 XLE蝕刻器中進行測試且示意性地展示於圖6中。上部電極連接至實現數密度之獨立控制的27MHz電源(電源功率)。將Si試片置放於連接至實現離子能量之獨立控制的2MHz電源(偏壓功率)之溫度控制底部電極上。在電漿製程期間上部電極上之8吋矽簇射頭實現饋入氣體之均一分佈。
經由簇射頭藉助於250sccm之Ar氣體歷時60秒將15sccm C2F4S2引入至蝕刻器中,該蝕刻器具有750W/0W偏壓、30毫托壓力及靜電夾盤之頂表面與簇射頭之底表面之間的1.35cm間隙。於Si試片上沈積75nm聚合物膜(藉由SEM在3個點量測)。因此,沈積速率為大致75nm/min。
比較實施例2
於1×1cm2 Si試片上用C2F6S2進行電漿氣相沈積測試。藉助於250sccm Ar氣體歷時60秒將15sccm C2F6S2引入至圖6之蝕刻器中,該蝕刻器具有750W/0W偏壓、30毫托壓力及1.35cm間隙。未沈積膜。傳送樣品用於XPS分析。所得XPS圖表展示於圖9中,僅僅展示Si及O峰,且更特定言之在大致156ev處之Si 2s峰及在大致105eV處之Si 2p峰。圖9中無C或S之跡象表明未形成保護聚合物。在電漿蝕刻製程期間沈積之缺乏將不提供足夠鈍化且因此可能導致蝕刻結構中之高度彎曲。因此,C2F6S2蝕刻劑將不適用於需要輪廓控制或側壁鈍化之應用。
實施例5
於四個使用雙面碳膠帶膠合至200mm Si載體晶圓之1×1cm2試片上用C2H3F3S進行蝕刻實驗。所研究的四種基板材料為氧化矽 (SiO2)、氮化矽(SiN)、多晶矽(p-Si)及非晶碳(a-C)。在圖6之蝕刻器中進行蝕刻測試,該蝕刻器設定在30毫托之壓力、750W(27MHz)之電源功率及1500W(2MHz)之偏壓功率下。饋入混合物含有250sccm之Ar及15sccm之C2H3F3S。氧氣(O2)流動速率自0sccm至15sccm改變。使用橢偏儀量測蝕刻速率且由SEM藉由量測隨處理時間而變的膜厚度變化來量測沈積速率。
隨以sccm計之氧氣流動速率而變繪製使用C2H3F3S所得之SiO2、SiN、p-Si及a-C之蝕刻速率且呈現於圖10中。正向y軸代表蝕刻速率而負向y軸代表沈積速率。x軸為以sccm計之O2流動。隨著氧氣添加,選擇性大幅度下降且存在a-C蝕刻速率高於SiO及SiN膜之蝕刻速率的情形。
圖10中所示,當未添加氧氣(0sccm O2條件)時,SiO2及SiN之蝕刻速率彼此接近(其中選擇性約為1:1,且蝕刻速率約為300nm/min),同時看到p-Si及a-C基板上之均一沈積(無粉末)。因此,此化合物可適合於要求SiO及SiN之蝕刻速率類似且p-Si及a-C之蝕刻速率較低的3D NAND製程。SiO與SiN之類似蝕刻速率可產生較少彎曲及切面。p-Si及a-C之低蝕刻速率可有助於保護遮罩材料。然而,SiO及SiN之蝕刻速率低於標準cC4F8氣體之蝕刻速率(高於550nm/min)。可添加諸如CF4之其他蝕刻氣體以提高蝕刻速率(參見實施例6)。
比較實施例3
在類似描述於實施例5中之處理條件下隨O2流動速率而變用cC4F8進行蝕刻實驗。結果展示於圖13中。如圖13所示,當未添加氧氣 時,氧化物與氮化物相對於p-Si與a-C之選擇性低於C2H3F3S。
比較實施例4
在類似描述於實施例5中之處理條件下隨O2流動速率而變用C4F6進行蝕刻實驗。結果展示於圖14中。如圖14所示,C4F6之氧化物與氮化物相對於p-Si與a-C之選擇性高於C2H3F3S之選擇性。然而,如一些應用所要求的,氧化物與氮化物之C4F6蝕刻速率並不類似,且氧化物對氮化物之選擇性較高。另一方面,如圖10中所示,當未添加氧氣時,C2H3F3S分子提供氧化物與氮化物之類似蝕刻速率。
實施例6
為了提高SiO/SiN膜之C2H3F3S蝕刻速率以與cC4F8之效能相當,向250sccm Ar與15sccm C2H3F3S之蝕刻氣體混合物中添加CF4。CF4添加自0sccm至15sccm改變。如圖11中所示,添加CF4至處理氣體混合物中會使SiO/SiN之蝕刻速率提高至400nm/min及以上,同時維持對p-Si與a-C之選擇性,使得此CF4為用於3D NAND應用之極佳分子。
比較實施例5
亦進行蝕刻實驗,其中將CF4添加至250sccm Ar與15sccm cC4F8之蝕刻氣體混合物中且結果展示於圖15中。如圖15中所示,所有四個基板之蝕刻速率均提高且因此未獲得選擇性之改良。另一方面,使用C2H3F3S使氧化物及氮化物之蝕刻速率提高,由此提高對p-Si與a-C之選擇性。
實施例7
於四個使用雙面碳膠帶膠合至200mm Si載體晶圓之1×1 cm2試片上用C2F4S2進行蝕刻實驗。所研究的四種基板材料為氧化矽(SiO2)、氮化矽(SiN)、多晶矽(p-Si)及非晶碳(a-C)。在圖6之蝕刻器中進行蝕刻測試,該蝕刻器設定在30毫托之壓力、750W(27MHz)之電源功率及1500W(2MHz)之偏壓功率下。饋入混合物含有250sccm之Ar及15sccm之C2F4S2。氧氣(O2)流動速率自0sccm至15sccm改變。使用橢偏儀量測蝕刻速率且使用SEM藉由量測隨處理時間而變的膜厚度變化來量測沈積速率。
隨以sccm計之氧氣流動速率而變繪製使用C2F4S2所得之SiO2、SiN、p-Si及a-C之蝕刻速率且呈現於圖12中。正向y軸代表蝕刻速率而負向y軸代表沈積速率。x軸為以sccm計之O2流動。隨著氧氣流動速率提高,氧化物之蝕刻速率降低,而p-Si及a-C之蝕刻速率提高。因此,氧化物對a-C與p-Si之選擇性隨著提高的氧氣流動速率而降低。
圖12中所示,在15sccm O2流動速率下氧化物、氮化物及p-Si之蝕刻速率大致相同。此可指示在此流動速率下C2F4S2產生自由氟作為主要蝕刻劑,使得C2F4S2成為高度聚合氣體(諸如C4F6及C5F8)之良好添加劑。
儘管已展示並描述本發明之具體實例,但熟習此項技術者可在不偏離本發明之精神或教示的情況下對其進行修改。本文中所描述之具體實例僅為例示性且不為限制性。對組成物及方法之許多改變及修改為可能的且屬於本發明之範疇。因此,保護範疇不限於本文中所描述之具體實例,而僅受以下申請專利範圍限制,該等申請專利範圍之範疇應包括申請專利範圍之標的物之所有等效物。

Claims (16)

  1. 一種在基板上沈積耐蝕刻聚合物層的方法,該方法包含:將化合物之蒸氣引入至含有基板之反應腔室中,該化合物具有選自由以下組成之群之式:C2F4S2(CAS 1717-50-6)、F3CSH(CAS 1493-15-8)、F3C-CF2-SH(CAS 1540-78-9)、F3C-CH2-SH(CAS 1544-53-2)、CHF2-CF2-SH(812-10-2)、CF3-CF2-CH2-SH(CAS 677-57-6)、F3C-CH(SH)-CF3(CAS 1540-06-3)、F3C-S-CF3(CAS 371-78-8)、F3C-S-CHF2(CAS 371-72-2)、F3C-CF2-S-CF2-CF3(CAS 155953-22-3)、F3C-CF2-CF2-S-CF2-CF2-CF3(CAS 356-63-8)、c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5)、c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8)、c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 R)(CAS 1507363-75-8)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 S)(CAS 1507363-76-9)及c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0);及將該化合物電漿活化以在該基板上形成耐蝕刻聚合物層。
  2. 如申請專利範圍第1項之方法,其中該化合物為C2F4S2(CAS 1717-50-6)。
  3. 如申請專利範圍第1項之方法,其中該化合物係選自由以下組成之群:F3CSH(CAS 1493-15-8)、F3C-CF2-SH(CAS 1540-78-9)、F3C-CH2-SH(CAS 1544-53-2)、CHF2-CF2-SH(812-10-2)、CF3-CF2-CH2-SH(CAS 677-57-6)及F3C-CH(SH)-CF3(CAS 1540-06-3)。
  4. 如申請專利範圍第1項之方法,其中該化合物係選自由以下組成之群:F3C-S-CF3(CAS 371-78-8)、F3C-S-CHF2(CAS 371-72-2)、F3C-CF2-S-CF2-CF3(CAS 155953-22-3)及F3C-CF2-CF2-S-CF2-CF2-CF3(CAS 356-63-8)。
  5. 如申請專利範圍第1項之方法,其中該化合物係選自由以下組成之群:c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5)、c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8)、c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 R)(CAS 1507363-75-8)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 S)(CAS 1507363-76-9)及c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0)。
  6. 如申請專利範圍第1項之方法,其中該耐蝕刻聚合物層在圖案蝕刻結構之側壁上形成含S聚合物鈍化層。
  7. 如申請專利範圍第6項之方法,其中該圖案蝕刻結構具有自10:1至100:1範圍內的縱橫比。
  8. 如申請專利範圍第6項之方法,其中該圖案蝕刻結構具有自60:1至100:1範圍內的縱橫比。
  9. 如申請專利範圍第6項之方法,其中該圖案蝕刻結構具有大致40nm至大致50nm範圍內之直徑。
  10. 如申請專利範圍第6項之方法,其中該鈍化層防止離子及自由基蝕刻該側壁。
  11. 如申請專利範圍第6項之方法,其中該耐蝕刻聚合物層使該圖案蝕刻結構的垂直輪廓為筆直的而不彎曲。
  12. 如申請專利範圍第1項之方法,其進一步包含將惰性氣體引入至該反應腔室中。
  13. 如申請專利範圍第12項之方法,其中該惰性氣體係選自由以下組成之群:He、Ar、Xe、Kr、Ne及其組合。
  14. 一種在基板上沈積含S聚合物鈍化層的方法,該方法包含:將化合物之蒸氣引入至含有基板之反應腔室中,該化合物具有選自由以下組成之群之式:C2F4S2(CAS 1717-50-6)、F3CSH(CAS 1493-15-8)、F3C-CF2-SH(CAS 1540-78-9)、F3C-CH2-SH(CAS 1544-53-2)、CHF2-CF2-SH(812-10-2)、CF3-CF2-CH2-SH(CAS 677-57-6)、F3C-CH(SH)-CF3(CAS 1540-06-3)、F3C-S-CF3(CAS 371-78-8)、F3C-S-CHF2(CAS 371-72-2)、F3C-CF2-S-CF2-CF3(CAS 155953-22-3)、F3C-CF2-CF2-S-CF2-CF2-CF3(CAS 356-63-8)、c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5)、c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8)、c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 R)(CAS 1507363-75-8)、c(-S-CFH-CF2-CF2-CFH-)(2 R,5 S)(CAS 1507363-76-9)及c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0);及藉由將電漿活化產生該化合物之片段以在該基板上形成含S聚合物鈍化層。
  15. 如申請專利範圍第14項之方法,其進一步包含將惰性氣體引入至該反應腔室中。
  16. 如申請專利範圍第15項之方法,其中該惰性氣體係選自由以下組成之群:He、Ar、Xe、Kr、Ne及其組合。
TW106143385A 2013-09-09 2014-09-05 用蝕刻氣體蝕刻半導體結構的方法 TWI642809B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361875321P 2013-09-09 2013-09-09
US61/875,321 2013-09-09

Publications (2)

Publication Number Publication Date
TW201812101A TW201812101A (zh) 2018-04-01
TWI642809B true TWI642809B (zh) 2018-12-01

Family

ID=52629027

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103130752A TWI612182B (zh) 2013-09-09 2014-09-05 用蝕刻氣體蝕刻半導體結構的方法
TW106143385A TWI642809B (zh) 2013-09-09 2014-09-05 用蝕刻氣體蝕刻半導體結構的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103130752A TWI612182B (zh) 2013-09-09 2014-09-05 用蝕刻氣體蝕刻半導體結構的方法

Country Status (7)

Country Link
US (2) US9773679B2 (zh)
JP (3) JP6423885B2 (zh)
KR (3) KR102305297B1 (zh)
CN (2) CN105580116B (zh)
SG (2) SG11201601839RA (zh)
TW (2) TWI612182B (zh)
WO (1) WO2015035381A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI695423B (zh) 2014-06-18 2020-06-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
WO2018106955A1 (en) 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
KR102504833B1 (ko) * 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
KR20220143158A (ko) 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
WO2019178030A1 (en) * 2018-03-16 2019-09-19 Lam Research Corporation Plasma etching chemistries of high aspect ratio features in dielectrics
TWI804638B (zh) * 2018-06-22 2023-06-11 日商關東電化工業股份有限公司 使用含硫原子之氣體分子之電漿蝕刻方法
TW202024047A (zh) * 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
TWI808274B (zh) * 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
WO2020131608A1 (en) * 2018-12-18 2020-06-25 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
JP6959999B2 (ja) * 2019-04-19 2021-11-05 株式会社日立ハイテク プラズマ処理方法
US20220235464A1 (en) * 2019-06-24 2022-07-28 Lam Research Corporation Selective carbon deposition
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
JP2021106212A (ja) * 2019-12-26 2021-07-26 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
WO2023234305A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法
WO2023234304A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法
WO2024019124A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US6461533B1 (en) * 1997-09-30 2002-10-08 Applied Materials Inc. Etchant for silicon oxide and method

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3230284B2 (ja) 1992-07-21 2001-11-19 ソニー株式会社 位相シフト・マスクの製造方法
JP3282243B2 (ja) 1992-10-31 2002-05-13 ソニー株式会社 ドライエッチング方法
JP3297939B2 (ja) * 1992-11-17 2002-07-02 ソニー株式会社 ドライエッチング方法
JPH07211694A (ja) 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP3611723B2 (ja) 1998-07-22 2005-01-19 セントラル硝子株式会社 エッチングガス
JP3555737B2 (ja) 1998-07-22 2004-08-18 セントラル硝子株式会社 クリーニングガス
KR100574923B1 (ko) * 1999-07-21 2006-05-02 삼성전자주식회사 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
US6540930B2 (en) * 2001-04-24 2003-04-01 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP3672900B2 (ja) * 2002-09-11 2005-07-20 松下電器産業株式会社 パターン形成方法
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7959819B2 (en) * 2004-06-29 2011-06-14 Shouliang Lai Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
EP1812961A1 (en) * 2004-10-27 2007-08-01 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
WO2007116515A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
WO2009041560A1 (ja) * 2007-09-28 2009-04-02 Zeon Corporation プラズマエッチング方法
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
CN101625966A (zh) * 2008-07-11 2010-01-13 东京毅力科创株式会社 基板处理方法
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP5862012B2 (ja) * 2010-02-01 2016-02-16 セントラル硝子株式会社 ドライエッチング剤及びドライエッチング方法
CN101800175B (zh) * 2010-02-11 2011-07-20 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法
CN104106127B (zh) * 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US6461533B1 (en) * 1997-09-30 2002-10-08 Applied Materials Inc. Etchant for silicon oxide and method

Also Published As

Publication number Publication date
CN105580116B (zh) 2020-02-07
CN111261512A (zh) 2020-06-09
CN111261512B (zh) 2024-02-06
CN105580116A (zh) 2016-05-11
US10115600B2 (en) 2018-10-30
JP2020155773A (ja) 2020-09-24
JP2019033277A (ja) 2019-02-28
TWI612182B (zh) 2018-01-21
KR20160054558A (ko) 2016-05-16
KR102400414B1 (ko) 2022-05-19
US20160307764A1 (en) 2016-10-20
SG10201807360YA (en) 2018-09-27
SG11201601839RA (en) 2016-04-28
US9773679B2 (en) 2017-09-26
JP6423885B2 (ja) 2018-11-14
KR102305297B1 (ko) 2021-09-24
KR102480249B1 (ko) 2022-12-21
KR20210116713A (ko) 2021-09-27
JP2016529740A (ja) 2016-09-23
US20170352546A1 (en) 2017-12-07
TW201514341A (zh) 2015-04-16
TW201812101A (zh) 2018-04-01
KR20220065902A (ko) 2022-05-20
JP6676724B2 (ja) 2020-04-08
WO2015035381A1 (en) 2015-03-12
JP6997237B2 (ja) 2022-02-04

Similar Documents

Publication Publication Date Title
TWI642809B (zh) 用蝕刻氣體蝕刻半導體結構的方法
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures