CN105580116A - 使用蚀刻气体蚀刻半导体结构的方法 - Google Patents

使用蚀刻气体蚀刻半导体结构的方法 Download PDF

Info

Publication number
CN105580116A
CN105580116A CN201480049399.0A CN201480049399A CN105580116A CN 105580116 A CN105580116 A CN 105580116A CN 201480049399 A CN201480049399 A CN 201480049399A CN 105580116 A CN105580116 A CN 105580116A
Authority
CN
China
Prior art keywords
compound
etching
silicon
layer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480049399.0A
Other languages
English (en)
Other versions
CN105580116B (zh
Inventor
R·古普塔
V·R·帕里姆
V·苏尔拉
C·安德森
N·斯塔福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to CN202010060259.0A priority Critical patent/CN111261512B/zh
Publication of CN105580116A publication Critical patent/CN105580116A/zh
Application granted granted Critical
Publication of CN105580116B publication Critical patent/CN105580116B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/01Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton
    • C07C323/02Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton having sulfur atoms of thio groups bound to acyclic carbon atoms of the carbon skeleton
    • C07C323/03Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton having sulfur atoms of thio groups bound to acyclic carbon atoms of the carbon skeleton the carbon skeleton being acyclic and saturated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00404Mask characterised by its size, orientation or shape

Abstract

公开了用于在基材上的含Si层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等的含硫化合物,和使用它的等离子体蚀刻方法。等离子体蚀刻化合物可提供在含Si层与掩模材料之间改进的选择性,较少的对通道区域的损害、直垂直剖面和图案高纵横比结构中减少的卷曲。

Description

使用蚀刻气体蚀刻半导体结构的方法
相关申请交叉引用
本申请要求2013年9月9日提交的美国临时申请No.61/875,321的优先权,出于所有目的通过引用将其全部内容并入本文中。
技术领域
公开了用于在基材上的含Si层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等的含硫化合物和使用它的等离子体蚀刻方法。
背景
在半导体工业中的存储应用如DRAM和2DNAND中,等离子体蚀刻从半导体基材上除去含硅层,例如SiO或SiN层。对于新型存储应用如3DNAND(US2011/0180941),多个SiO/SiN或SiO/poly-Si层堆栈的蚀刻是关键的。优选,蚀刻剂具有在掩模与待蚀刻层之间的高选择性。此外,蚀刻剂优选蚀刻结构,使得垂直剖面为直的而不具有卷曲。3DNAND堆栈可包含其它含硅层。
传统上,等离子体蚀刻使用由气体来源(例如含氢、含氧或含氟气体)产生活性物种的等离子体源进行。然后活性物种与含Si层反应以形成挥发性物种。挥发性物种通过由真空泵保持的反应器中的低压除去。优选,掩模材料不被活性物种蚀刻。掩模材料可包含以下中的一种:光致抗蚀剂、无定形碳(a-C)、多晶硅(polySi)、金属或不蚀刻的其它硬掩模。
传统的蚀刻气体包括cC4F8(八氟环丁烷)、C4F6(六氟-1,3-丁二烯)、CF4、CH2F2、CH3F和/或CHF3。这些蚀刻气体在蚀刻期间也可形成聚合物。聚合物充当图案蚀刻结构侧壁上的保护或钝化层。该聚合物钝化层防止可能导致非垂直结构、卷曲和尺寸变化的离子和自由基蚀刻侧壁。本领域熟知选择性和聚合物沉积速率随着C:F比值增加而增加(即C4F6>C4F8>CF4),参见例如US6387287,Hung等。
传统的蚀刻化学不能提供在新应用中必须的高纵横比(>20:1),至少是因为在等离子体蚀刻方法期间侧壁上的聚合物沉积不足。额外地,侧壁上的CxFy聚合物对蚀刻敏感。因此,蚀刻的图案可能不是垂直的且结构可能显示出卷曲、尺寸变化和/或图案瓦解。
卷曲可能是由于通常为无定形碳材料的掩模层的侧壁蚀刻。无定形碳材料可通过等离子体中的氧自由基蚀刻,这可导致增加的掩模开口并产生弓状或角形/弧形蚀刻结构。
在过去硫气体如COS(羰基硫)和SO2(二氧化硫)与氧等离子体组合用于在图案蚀刻方法中蚀刻无定形碳层。硫可在无定形碳上提供钝化层以有助于保护表面以防氧自由基,且因此有助于防止弓状结构。例如Kim等(J.Vac.Sci.Technol.A31(2),2013年3月/4月)公开了在O2和5%COS的气体混合物中蚀刻的50nm无定形碳空穴产生更各向异性蚀刻剖面且与不使用COS蚀刻的那些相比提高约37%的顶部/底部开口比。
Rusu等(US7645707)描述了使用包含氟组分、O2和硫组分气体的蚀刻剂气体蚀刻介电层的方法。硫组分气体优选为H2S、COS或CS2
Yanagida(US5376234)公开了一种干蚀刻方法,其中将一种选自各自具有氟碳侧链的硫醇、硫醚和二硫化物的化合物用作蚀刻气体的主要组分。提供了其中使用C2F6S2蚀刻SiO2夹层绝缘膜的实施例。
US2003/0019841,Behr等公开了将全氟代化学品如CF3SF5加入清洁或蚀刻气体。
KR10-2001/010568,SamsungElectronicsCo.Ltd.公开了使用含硫氟碳气体如C4F8S、C3F6S和C3F6S2干蚀刻氧化物膜。
还提出将含有硫代羰基(>C=S)和氟原子的分子用于不同的蚀刻方法。参见例如JP06-151384、JP06-258815和JP07-211694,SonyCorp.
仍需要用于等离子体应用中的新蚀刻气体组合物。
符号和命名
某些缩写、符号和术语用于整个以下描述和权利要求书中,且包括:
如本文所用,不定冠词“一个”或“一种”意指一个(一种)或多个(多种)。
如本文所用,术语“约”意指所述值的±10%。
如本文所用,在描述R基团的上下文中使用的术语“独立”应理解为表示物质R基团不仅相对于带有相同或不同的下标或上标的其他R基团独立选择,而且相对于具有相同R基团的任何额外物种独立选择。例如在其中x为2或3的式MR1 x(NR2R3)(4-x)中,2或3个R1基团可以但不需要彼此或与R2或与R3相同。此外,应理解除非另有具体说明,R基团的值在不同式中使用时彼此独立。
如本文所用,术语“烷基”指仅包含碳和氢原子的饱和官能团。且术语“氟烷基”指仅含有碳和氟以及任选地氢的饱和官能团(即氟烷基可部分或完全氟化)。此外,术语“烷基”和“氟烷基”指线性、支化或环状基团。线性烷基的实例非限制性包括甲基、乙基、丙基、丁基等。线性氟烷基的实例包括CF3-、CF2H-、-CF2-CF3或-CFH-CF3。支化烷基的实例非限制性包括叔丁基。环状烷基的实例非限制性包括环丙基、环戊基、环己基等。环状基团和化合物由式前的“c”和环相对端的“-”指定(即c(-CH2-CH-CH2-)为环丙基且c(-CH2-CH2-CH2-)为环丙烷)。
如本文所用,术语“蚀刻”指等离子体蚀刻方法(即干蚀刻方法),其中离子轰击促进垂直方向上的化学反应,使得沿着被掩蔽特征的边缘以相对于基材的直角形成垂直侧壁(ManosandFlamm,PlasmaEtchingAnIntroduction,AcademicPress,Inc.1989,第12-13页)。蚀刻方法在基材中产生孔,例如通路、槽、通道孔、栅槽、阶梯触点、电容器孔、接触孔等。
术语“图案蚀刻”或“图案化蚀刻”指蚀刻非平面结构,例如含硅层堆栈上的图案化掩模层。
术语“选择性”意指一种材料的蚀刻速率与另一材料的蚀刻速率的比。术语“选择性蚀刻”意指蚀刻一种材料多于另一种材料,或者换言之,两种材料之间具有大于或小于1:1的蚀刻选择性。
如本文所用,缩写“NAND”指“非AND”或“不是AND”栅;缩写“2D”指平面基材上的二维栅结构;缩写“3D”指三维或垂直栅结构,其中栅结构在垂直方向上堆叠;且缩写“DRAM”指动态随机存取存储器。
在本文中使用来自元素周期表的元素的标准缩写。应当理解元素可通过这些缩写指代(例如S指硫,Si指硅,H指氢等)。
提供由化学文摘协会编制的唯一的CAS登记号(即“CAS”)以有助于更好地确定所公开的分子。
请注意含Si膜,例如SiN和SiO在整个说明书和权利要求书中列出而不提及它们的恰当化学计量。含硅层可包括纯硅(Si)层,例如结晶Si、多晶硅(polySi或多晶Si),或者无定形硅;氮化硅(SikNl)层;或氧化硅(SinOm)层;或其混合物,其中k、l、m和n包括性地范围为1-6。优选氮化硅为SikNl,其中k和l各自范围为0.5-1.5。更优选氮化硅为Si1N1。优选氧化硅为SinOm,其中n范围为0.5-1.5且m范围为1.5-3.5。更优选氧化硅为SiO2或SiO3。含硅层还可以为氧化硅基介电材料如有机基或氧化硅基低k介电材料如AppliedMaterials,Inc的BlackDiamondII或III材料。含硅层还可包括掺杂剂,例如B、C、P、As和/或Ge。
概述
公开了等离子体蚀刻位于基材上的含硅层的方法。将化合物蒸气引入包含位于基材上的含硅层的室中。该化合物具有选自如下的式:
R1-SH
R2-S-R3
C2F4S2(CAS1717-50-6)
其中R1、R2和R3各自独立地为饱和的C1-C4烷基或氟烷基且R2和R3可连接而形成5或6员含S环。
将惰性气体引入所述室中。产生等离子体以由所述蒸气生产经活化的蒸气。其中经活化的蒸气与含硅层选择性反应以形成挥发性副产物。从所述室中除去挥发性副产物。所公开的方法可包括如下方面中的一个或多个:
●所述化合物为C2F4S2(CAS1717-50-6);
●所述化合物具有式R1-SH;
●所述化合物为F3C-SH(CAS1493-15-8);
●所述化合物为F3C-CF2-SH(CAS1540-78-9);
●所述化合物为F3C-CH2-SH(CAS1544-53-2);
●所述化合物为CHF2-CF2-SH(CAS812-10-2);
●所述化合物为CF3-CF2-CH2-SH(CAS677-57-6);
●所述化合物为F3C-CH(SH)-CF3(CAS1540-06-3);
●所述化合物具有式R2-S-R3
●所述化合物为F3C-S-CF3(CAS371-78-8);
●所述化合物为F3C-S-CHF2(CAS371-72-2);
●所述化合物为F3C-CF2-S-CF2-CF3(CAS155953-22-3);
●所述化合物为F3C-CF2-CF2-S-CF2-CF2-CF3(CAS356-63-8);
●R2和R3连接而形成5-6员含S环;
●所述化合物为c(-S-CF2-CF2-CHF-CF2-)(CAS1035804-79-5);
●所述化合物为c(-S-CF2-CHF-CHF-CF2-)(CAS30835-84-8);
●所述化合物为c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS24345-52-6);
●所述化合物为c(-S-CFH-CF2-CF2-CFH-)(2R,5R)(CAS1507363-75-8);
●所述化合物为c(-S-CFH-CF2-CF2-CFH-)(2R,5S)(CAS1507363-76-9);
●所述化合物为c(-S-CFH-CF2-CF2-CH2-)(CAS1507363-77-0);
●惰性气体选自He、Ar、Xe、Kr、Ne及其组合;
●惰性气体为Ar;
●在引入所述室中以前将蒸气和惰性气体混合以产生混合物;
●将蒸气与惰性气体分开地引入所述室中;
●混合物包含约50%v/v至约95%v/v惰性气体;
●将惰性气体连续地引入所述室中并将蒸气以脉冲引入所述室中;
●将氧化剂引入所述室中;
●不将氧化剂引入所述室中;
●氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2及其组合;
●在引入所述室中以前将蒸气和氧化剂混合;
●将蒸气与氧化剂分开地引入所述室中;
●将氧化剂连续地引入所述室中并将蒸气以脉冲引入所述室中;
●将约5%v/v至约100%v/v氧化剂引入所述室中;
●含硅层包括氧化硅、氮化硅、多晶硅或其组合的层;
●含硅层进一步包含氧原子、氮原子、碳原子或其组合;
●含硅层不包含碳化硅;
●含硅层为氧化硅层;
●由无定形碳层选择性蚀刻氧化硅层;
●由光致抗蚀剂层选择性蚀刻氧化硅层;
●由多晶硅层选择性蚀刻氧化硅层;
●由金属接触层选择性蚀刻氧化硅层;
●由SiN层选择性蚀刻氧化硅层;
●含硅层为氮化硅层;
●由无定形碳层选择性蚀刻氮化硅层;
●由图案化光致抗蚀剂层选择性蚀刻氮化硅层;
●由多晶硅层选择性蚀刻氮化硅层;
●由金属接触层选择性蚀刻氮化硅层;
●由SiO层选择性蚀刻氮化硅层;
●在含硅层中产生具有约10:1至约100:1的纵横比的孔;
●生产栅槽;
●生产阶梯触点;
●生产通道孔;
●生产具有约60:1至约100:1的纵横比的通道孔;
●生产具有约40nm至约50nm的直径的通道孔;
●将蚀刻气体引入所述室中;
●蚀刻气体选自cC5F8、cC4F8、C4F8、C4F6、CF4、CHF3、CF3H、CH2F2、COS、CS2;CF3I;C2F3I;C2F5I;SO2;反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;六氟异丁烯;六氟环丁烷(反-1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3-);四氟环丁烷(1,1,2,2-);和六氟环丁烷(顺-1,1,2,2,3,4);
●蚀刻气体为cC5F8
●蚀刻气体为cC4F8
●蚀刻气体为C4F6
●在引入所述室中以前将蒸气和蚀刻气体混合;
●将蒸气与蚀刻气体分开地引入所述室中;
●将约1%v/v至约99.9%v/v蚀刻气体引入室中;
●通过约25W至约10,000W范围的RF功率将等离子体活化;
●所述室具有约1毫托至约10托的压力;
●将蒸气以约0.1sccm至约1slm的流动速率引入所述室中;
●将基材保持在约-196℃至约500℃的温度下;
●将基材保持在约-120℃至约300℃的温度下;
●将基材保持在约-10℃至约40℃的温度下;
●将基材保持在约-100℃至约50℃的温度下;
●通过四极质谱仪、光电直读光谱仪(opticalemissionspectrometer)、FTIR或其它辐射/离子测量工具测量经活化的蒸气;
●通过施加RF功率产生等离子体。
附图简述
为进一步理解本发明的性质和目的,应连同附图一起参考以下详述,其中类似的元件以相同或类似的参考数字给出,且其中:
图1为显示NAND堆栈中的示例层的图;
图1a为显示在NAND堆栈中在蚀刻期间沉积在侧壁上的聚合物的图;
图2为显示DRAM堆栈中的示例层的图;
图3为绘出由C2H3F3S产生的物种部分的体积(以托表示)相对于能量(以eV表示)的质谱(MS)图;
图4为绘出由C2F4S2产生的物种部分的体积(以托表示)相对于能量(以eV表示)的MS图;
图5为绘出由C2F6S2产生的物种部分的体积(以托表示)相对于能量(以eV表示)的对比MS图;
图6为随后实施例中所用蚀刻剂的示意图;
图7为由C2H3F3S沉积的聚合物中的原子的X射线光电子能谱(XPS)图;
图8A为由C2H3F3S沉积的聚合物膜的扫描电子显微镜(SEM)图片;
图8B为由C2H3F3S沉积的聚合物膜的相同SEM图片,其中增加线以更好的标识各层的边界;
图9为尝试在其上由C2F6S2沉积聚合物的Si试样中的原子的XPS图;
图10为作为氧气流动速率的函数绘出的C2H3F3S在SiO2、SiN、p-Si和a-C上的蚀刻速率的图;
图11为作为CF4流动速率的函数绘出的C2H3F3S与CF4的组合在SiO2、SiN、p-Si和a-C上的蚀刻速率的图;
图12为作为氧气流动速率的函数绘出的C2F4S2在SiO2、SiN、p-Si和a-C上的蚀刻速率的图;
图13为作为氧气流动速率的函数绘出的cC4F8在SiO2、SiN、p-Si和a-C上的蚀刻速率的图;
图14为作为氧气流动速率的函数绘出的C4F6在SiO2、SiN、p-Si和a-C上的蚀刻速率的图;和
图15为作为CF4流动速率的函数绘出的cC4F8与CF4的组合在SiO2、SiN、p-Si和a-C上的蚀刻速率的图。
优选实施方案描述
公开了用于在含硅层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等的含硫化合物。所公开的蚀刻化合物可提供相对于掩模层的较高选择性且在高纵横比结构中无剖面变形。
等离子体蚀刻化合物可提供含Si层与掩模材料之间改进的选择性,较少的对通道区域的损害和图案高纵横比结构中减少的卷曲。等离子体蚀刻化合物还可蚀刻通过polySi、SiO和/或SiN的交替层,产生垂直蚀刻剖面。
所公开的含硫化合物具有下式之一:
R1-SH
R2-S-R3
C2F4S2(CAS1717-50-6)
其中R1、R2和R3各自独立地为饱和的C1-C4烷基或氟烷基且R2和R3可连接而形成5或6员含S环。
在一个替换方案中,含硫化合物为C2F4S2(CAS1717-50-6)。该化合物可市购。申请人相信该化合物的环状结构在等离子体方法期间产生适合各项异性蚀刻含Si层和在蚀刻的结构的侧壁上沉积含S聚合物钝化层的链段(参见图1a)。
在另外的替换方案中,该化合物具有式R1-SH,其中R1为饱和的C1-C4烷基或氟烷基。这些化合物可市购或以一步法通过由过量硫化氢在高压釜中在室温下还原相应硫基氯而合成(例如参见Bekker等,Phosphorus,Sulfur,andSiliconandtheRelatedElements(1996)119,161-68)。作为替换,R1-S-Cl和Cl2-P-O-CH3可以以两步法与PSCl3且随后与H2O反应(参见例如Haas和Kortmann,ZeitschriftfuerAnorganischeundAllgemeineChemie,501,79-88,1983)。
申请人相信R1-SH的端部SH在等离子体中提供较轻的含S链段,允许在蚀刻方法期间更有效地使含S聚合物在蚀刻的结构的侧壁上沉积钝化层(参见图1a),由此保护硬掩膜剖面的完整性和得到所需的蚀刻剖面。H在含S聚合物钝化层中的存在还可增强聚合物,使其比含较少H的层更耐受蚀刻环境导致的损坏。具有式R1-SH的示例化合物包括F3CSH(CAS1493-15-8),F3C-CF2-SH(CAS1540-78-9),F3C-CH2-SH(CAS1544-53-2),CHF2-CF2-SH(CAS812-10-2),CF3-CF2-CH2-SH(CAS677-57-6)和F3C-CH(SH)-CF3(CAS1540-06-3)。
在另外的替换方案中,该化合物具有式R2-S-R3,其中R2和R3各自独立地为饱和的C1-C4烷基或氟烷基且R2和R3可连接而形成5或6员含S环。申请人相信在这些分子中呈其+2氧化态的S提供与具有呈较高氧化态的S的化合物产生的那些相比产生适合用于形成侧壁聚合物钝化层的链段的更好能力。在R2-S-R3分子中一个硫原子与两个或更多个碳原子的组合还可产生富碳含S聚合物钝化层,其在蚀刻方法期间可更好的保护侧壁以防损坏。
具有式R2-S-R3的示例线性分子包括F3C-S-CF3(CAS371-78-8),F3C-S-CHF2(CAS371-72-2),F3C-CF2-S-CF2-CF3(CAS155953-22-3)和F3C-CF2-CF2-S-CF2-CF2-CF3(CAS356-63-8)。这些化合物可市购或通过使Hg(SCF3)2与MeI反应而合成(参加例如Yu等,InorganicChemistry(1974),13(2),484-6)。作为替换,该化合物可通过CF3SOC(O)Me的光解合成(参见同上)。
具有式R2-S-R3的示例环状分子包括c(-S-CF2-CF2-CHF-CF2-)(CAS1035804-79-5),c(-S-CF2-CHF-CHF-CF2-)(CAS30835-84-8),c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS24345-52-6),c(-S-CFH-CF2-CF2-CFH-)(2R,5R)(CAS1507363-75-8),c(-S-CFH-CF2-CF2-CFH-)(2R,5S)(CAS1507363-76-9)和c(-S-CFH-CF2-CF2-CH2-)(CAS1507363-77-0)。这些化合物可通过使用四氟钴(III)酸钾氟化类似不饱和含S环结构而合成(例如参见Coe,e-EROSEncyclopediaofReagentsforOrganicSynthesis,未给出页码;2001)。作为替换,这些化合物通过真空热解具有单元结构–(CF2)mS(CF2)nS-的共聚物而合成。–(CF2)mS(CF2)nS-共聚物可通过使F2C:CF2与CSF2或四氟硫杂丙环而生产(参见例如James和Rowsell,JournaloftheChemicalSociety[部分]D:ChemicalCommunications(1969)(21),1274-5)。
所公开的含硫等离子体蚀刻化合物以约99.9%v/v至约100.0%v/v纯度,优选以约99.99%v/v至约100.00%v/v纯度,更优选以约99.999%v/v至约100.000%v/v纯度提供。所公开的蚀刻化合物包含约0.0体积%至约0.1体积%痕量气体杂质,其中约0体积ppm至约150体积ppm含氮和含氧气体,例如N2和/或H2O和/或HF和/或H2S和/或CO2和/或CO,和/或SO2包含在所述痕量气体杂质中。优选,等离子体蚀刻化合物中的水含量为约0重量ppm至约20重量ppm。提纯产物可通过蒸馏和/或使气体或液体通过合适的吸附剂如4A分子筛而制备。
在一个替换方案中,所公开的等离子体蚀刻化合物包含约0%v/v至约5%v/v,优选约0%v/v至约1%v/v,更优选约0.0%v/v至约0.1%v/v,甚至更优选约0%v/v至约10.01%v/v的其任何异构体。该替换方案可提供较好的方法重复性。该替换方案可通过将气体或液体蒸馏而制备。作为替换,所公开的等离子体蚀刻化合物可包含约5%v/v至约50%v/v一种或多种其异构体,当异构体混合物提供改进的工艺参数或者目标异构体的分离太难或昂贵时特别如此。例如,异构体混合物可降低对通向等离子体反应器的两个或更多个气体管线的需要。一个示例混合物可组合有50%v/vF3C-CF2-SH(CAS1540-78-9)和50%v/vF3C-S-CHF2(CAS371-72-2)或90%c(-S-CFH-CF2-CF2-CFH-)(2R,5R)(CAS1507363-75-8)和10%c(-S-CFH-CF2-CF2-CFH-)(2R,5S)(CAS1507363-76-9)。
所公开的化合物适于在一个或多个含Si层中等离子体蚀刻通道孔、栅槽、阶梯触点、电容器孔、接触孔等且可与目前和未来的掩模材料的产生相容,因为它们引起沿着高纵横比结构的良好剖面很少至不引起对掩模的损害。为实现那些性能,所公开的化合物中含有的S原子可在蚀刻期间沉积抗蚀聚合物层且有助于降低蚀刻方法期间氧和氟自由基的直接影响。所公开的化合物还可降低蚀刻期间对poly-Si通道结构的损害(参见US2011/0180941)。优选,含S化合物在蚀刻方法期间为适当地挥发性且稳定的从而输送至反应器/室中。
材料相容性测试对确定任何含S化合物与所述室材料是否反应且短期或长期使用其性能是否降低是重要的。包括在室、阀等部件中的关键材料包括不锈钢、铝、镍、PCTFE、PVDF、PTFE以及其他金属和聚合物。有时这些材料暴露于较高的温度和压力,其可增强其降解。计量方法可包括目测检查、重量测量、SEM中测量纳米计尺度变化、拉伸强度、硬度等。
所公开的含硫化合物可用于等离子体蚀刻基材上的含硅层。所公开的等离子体蚀刻方法可用于生产半导体器件,例如NAND或3DNAND栅或者闪存存储器或DRAM存储器。其它应用领域包括其在不同的线前端(FEOL)和线后端(BEOL)蚀刻应用。额外地,其还可包括在3DTSV(硅通孔)蚀刻应用中蚀刻Si以将存储基材互连在逻辑基材上。
等离子体蚀刻方法包括提供具有其中设置有基材的反应器。反应器可以为器件内进行蚀刻方法的任何围场或室,例如且不限于反应性离子蚀刻(RIE)、具有单频或多频RF源的双电容耦合等离子体、感应耦合等离子体(ICP)或微波等离子体反应器,或者能够选择性地除去一部分含Si层或产生活性物种的其它类型的蚀刻系统。本领域技术人员认识到不同的反应器设计提供不同的电子温度控制。合适的市售反应器包括但不限于以商标eMAXTM出售的AppliedMaterials磁增强反应性离子刻蚀机或者以商标FlexTM出售的LamResearchDualCCP反应性离子蚀刻机介电蚀刻产品族。
反应器可包含一个或多于一个基材。例如,反应器可包含1-200个具有25.4-450mm直径的硅晶片。作为替换,含S化合物可用于从反应器壁上移除含Si基材。基材通常限制为在其上进行工艺过程的材料。该基材可以为用于半导体、光伏器件、平板或LCD-TFT器件生产中的任何合适基材。典型的基材为具有在其上的多个层的经图案化的基材。合适层的实例非限制性地包括硅(例如无定形硅、多晶硅、结晶硅,其任一种可进一步p-掺杂或n-掺杂)、二氧化硅、氮化硅、氧化硅、氮氧化硅、钨、氮化钛、氮化钽,掩模材料如无定形碳、抗反射涂层、光致抗蚀剂材料或其组合。另外,可使用包含钨或贵金属(例如铂、钯、铑或金)的层。
基材可包含其上多个层的堆栈,类似于图1中所示那些。在图1中,7个SiO/SiN层的堆栈位于硅晶片基材顶部(即ONON或TCAT技术)。本领域技术人员认识到一些技术用polySi层替代SiN层(即SiO/polySi或P-BICS技术)。无定形碳掩模层位于7个SiO/SiN层顶部。抗反射涂层位于无定形碳掩模顶部。图案光致抗蚀剂层位于抗反射涂层顶部。图1中层的堆栈反映类似于生产3DNAND栅所用那些的层。本领域技术人员认识到图1中的层的堆栈仅用于示例且所公开的含硫化合物可用于蚀刻其他层的堆栈。此外,本领域技术人员认识到堆栈中的SiO/SiN或SiO/polySi层数可变化(即可包括多于或少于所描述的7个SiO/SiN层)。
作为替换,基材可包含其上多个层的堆栈,类似于图2中所示那些。在图2中,4个层的堆栈位于硅晶片基材顶部。无定形碳掩模层位于大的SiO层顶部。抗反射涂层层位于无定形碳掩模顶部。图案光致抗蚀剂层位于抗反射涂层顶部。图2中的层的堆栈反映类似于生产DRAM存储器所用那些的层。本领域技术人员认识到提供图2中的层的堆栈仅用于示例且所公开的含硫化合物可用于蚀刻其他层的堆栈。此外,本领域技术人员认识到堆栈中的层数可变化(即可包括多于或少于所描述的4个层)。
将所公开的含S化合物蒸气引入包含基材和含硅层的室中。蒸气可以以约0.1sccm至约1slm范围内的流动速率引入室中。例如,对于200mm晶片尺寸,蒸气可以以约5sccm至约50sccm范围内的流动速率引入室中。作为替换,对于450mm晶片尺寸,蒸气可以以约25sccm至约250sccm范围内的流动速率引入室中。本领域技术人员认识到流动速率可根据工具而变化。
所公开的含硫化合物可以以纯净形式或与合适的溶剂如乙基苯、二甲苯类、均三甲苯、癸烷或十二烷的混合物供应。所公开的含硫化合物可以以不同浓度存在于溶剂中。含硫化合物的蒸气形式可通过使纯净或混合的含硫化合物溶液通过常规蒸发步骤,例如直接蒸发而蒸发或者通过鼓泡而产生。在将它引入反应器中以前,可将纯净或混合的含硫化合物以液态供入气化器中,在那里将它蒸发。作为替换,纯净或混合的含硫化合物可通过使载气通入包含所公开的含S化合物的容器或者通过将载气鼓泡进入所公开的含S化合物中而蒸发。载气可包括但不限于Ar、He、N2及其混合物。用载气鼓泡也可除去存在于纯净或混合的含硫化合物溶液中的任何溶解氧。然后可将载气和所公开的含S化合物作为蒸气引入反应器中。
如果需要的话,可将包含所公开的含S化合物的容器加热至容许含S化合物呈液相且具有足够蒸气压力的温度。可将容器保持在例如约0℃至约150℃范围内的温度下。本领域技术人员认识到容器的温度可以以已知的方式调整以控制蒸发的含S化合物的量。
所公开的含S化合物蒸气通过等离子体活化以产生经活化的蒸气。等离子体将含S化合物蒸气分解成自由基形式(即经活化的含S化合物)。等离子体可通过施加RF或DC功率而产生。等离子体可用约25W至约10,000W范围内的RF功率产生。等离子体可产生或本身存在于反应器中。等离子体可以用在两个电极上施加的RF以DualCCP或ICP模式产生。等离子体的RF频率可以为200KHz至1GHz。不同频率的不同RF源可耦合并施加在相同电极上。等离子体RF脉冲可进一步用于控制分子分裂和在基材上反应。本领域技术人员认识到适于该等离子体处理的方法和设备。
还将惰性气体引入反应室中以维持等离子体。惰性气体可以为He、Ar、Xe、Kr、Ne或其组合。可将含S化合物蒸气和惰性气体在引入室中以前混合,其中惰性气体包含所得混合物的约50%v/v至约95%v/v。作为替换,可将惰性气体连续引入室中,同时将含S化合物蒸气以脉冲引入室中。
四极质谱仪、光电直读光谱仪、FTIR或其它辐射/离子测量工具可测量经活化的蒸气以测定所产生的物种的类型和数目。如果需要,可调整蒸气和/或惰性气体的流动速率以提高或降低产生的自由基物种的数目。
可将所公开的含S化合物在引入反应室中以前或在反应室内部与其它气体混合。优选,可将气体在引入室中以前混合以提供均匀浓度的进入气体。在另一替换方案中,含S化合物蒸气可不依赖于其它气体而引入室中,例如当两种或更多种气体反应时。
示例气体包括但不限于氧化剂如O2、O3、CO、CO2、NO、N2O、NO2及其组合。可将含S化合物蒸气和氧化剂在引入室中以前混合在一起。作为替换,可将氧化剂连续引入室中并将含S化合物蒸气以脉冲引入室中。氧化剂可占引入室中的混合物的约5%v/v至约100%v/v(其中对于连续引入替换方案,100%v/v表示纯氧化剂的引入)。
可与含S化合物蒸气混合的其它示例气体包括其它蚀刻气体,例如cC4F8,C4F8,C4F6,CF4,CH3F,CF3H,CH2F2,COS,CS2;CF3I;C2F3I;C2F5I;SO2;反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;六氟异丁烯;六氟环丁烷(反-1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3-);四氟环丁烷(1,1,2,2-);或六氟环丁烷(顺-1,1,2,2,3,4)。含S化合物和蚀刻气体的蒸气可在引入室中以前混合。蚀刻气体可占引入室中的混合物的约1%v/v至约99.9%v/v。
含Si层和经活化的气体反应并形成挥发性物种,将其从反应器中除去。无定形碳掩模、抗反射涂层和光致抗蚀剂层可对所公开的含S化合物蒸气呈较小的反应性。
反应器内的温度和压力保持在适于含硅层与经活化的含S蒸气反应的条件下。例如,反应器中的压力可保持为每蚀刻参数所要求的约0.1毫托至约1000托,优选约1毫托至约10托,更优选约10毫托至约1托,更优选约10毫托至约100毫托。同样,反应器中的基材温度可以为约-196℃至约500℃,优选-120℃至约300℃,更优选-10℃至约40℃。室壁温取决于方法要求可以为约-196℃至约300℃。
含Si层与经等离子体活化的含S化合物之间的反应导致含Si层从基材上脱除。氮、氧和/或碳原子也可存在于含Si层中。脱除是由于含Si层从等离子体离子物理溅射(由等离子体促进)和/或通过等离子体物种化学反应以将Si转化成挥发性物种如SiFx,其中x为1-4。
经等离子体活化的含S化合物蒸气优选显示出对掩模的高选择性,并蚀刻通过SiO和SiN的交替层,产生不具有卷曲的垂直蚀刻剖面,这对3DNAND应用而言是重要的。对于其它应用,例如DRAM和2DNAND,例如等离子体活化的含S化合物蒸气替换择性地由SiN蚀刻SiO。等离子体活化的含S化合物蒸气优选选择性地由掩模层如无定形碳、光致抗蚀剂、多晶硅或碳化硅;或者由金属接触层如Cu;或者由由SiGe组成的通道区域或多晶硅区域选择性蚀刻SiO和/或SiN。
使用所公开含S化合物的所公开蚀刻方法在含Si层中产生通道孔、栅槽、阶梯触点、电容器孔、接触孔等。所得孔可具有约10:1和约100:1的纵横比和约40nm至约50nm的直径。例如,本领域技术人员认识到通道孔蚀刻在含Si层中产生纵横比大于60:1的孔。
在一个非限制性示例等离子体蚀刻方法中,使用受控气流装置将C2F3H3S蒸气引入200mmDualCCP等离子体蚀刻工具中。该装置可以为质量流控制器或设计使用惰性气流以递送所需分子的蒸气的鼓泡器。在高沸点分子的情况下,可使用来自BrooksAutomation(No.GF120XSD),MKSInstruments的专业低压降质量流控制器。将等离子体蚀刻工具的压力设置为约30毫托。不需要气体来源加热,因为该化合物的蒸气压力在室温下为约400托。两个CCP电极之间的距离保持为1.35cm,且顶电极RF功率固定为750W。底电极RF功率变化以分析分子的性能。等离子体蚀刻工具包括包含其上具有含Si层的基材的室。将氩气以250sccm流动速率独立地引入室中。将C2H3F3S以15sccm独立地引入室中。将O2以0-20sccm独立地引入室中以测定最佳蚀刻条件。
实施例
提供以下非限制性实施例以进一步阐述本发明的实施方案。然而,实施例不意欲为全包括性的且不意欲限制本文所述发明的范围。
实施例1
购自SigmaAldrich的C2H3F3S(2,2,2-三氟乙烷硫醇或F3C-CH2-SH)的分析通过质谱(MS)进行以研究电子碰撞电离。C2H3F3S蚀刻剂流动通过质谱仪室且四极质谱仪(HidenAnalyticalInc.)检测器研究作为电子能量函数的蚀刻气体的链段。所得的绘出等离子体物种部分的体积(托)相对于能量(eV)的MS图显示于图3中。图3显示C2H3F3S的主要链段为CH3S和CHS。这些链段缺少氟且因此在到达基材时容易聚合。
还对C2H3F3S进行材料相容性测试。在其中具有不锈钢、镍、铝、PCTFE(聚一氯三氟乙烯)、PVDF(聚偏氟乙烯)和PTFE(聚四氟乙烯)的样品的抽空的不锈钢容器中引入并分离C2H3F3S的蒸气。因此,分离后容器中的压力约为C2H3F3S的蒸气压(0.55巴,在20℃下)。将容器维持在该压力和室温下一个月。没有观察到样品分解。
实施例2
购自SynQuest的C2F4S2(2,2,4,4-四氟-1,3-二噻丁环)的分析通过质谱(MS)进行以研究电子碰撞电离。C2F4S2蚀刻剂流动通过与实施例1中使用的相同的质谱仪室和四极质谱仪检测器以研究作为电子能量函数的蚀刻气体的链段。所得的绘出等离子体物种部分的体积(托)相对于能量(eV)的MS图显示于图4中。图4显示C2F4S2的主要链段为CFS和CF2S。这些链段在链段中具有硫且因此可在到达基材时聚合。
对比实施例1
进行购自SynQuest的C2F6S2(双(三氟甲基)二硫化物)的MS分析以研究电子碰撞电离。C2F6S2蚀刻剂流动通过与实施例1和2中使用的相同的质谱仪室和四极质谱仪检测器以研究作为电子能量函数的蚀刻气体的链段。所得的绘出等离子体物种部分的体积(托)相对于能量(eV)的MS图显示于图5中。
比较图3和4与图5,与C2F6S2相比,C2H3F3S和C2F4S2产生较大量的含硫链段。这意指在等离子体蚀刻方法期间,C2H3F3S和C2F4S2为更多含硫等离子体物种提供在平面和垂直层上的改善的钝化膜。对蚀刻更具有蚀刻耐受性的硫膜可产生更好的蚀刻剖面且允许高纵横比蚀刻。
额外地,对于提高蚀刻的结构侧壁上的聚合物形成,0.1:1-3:1的F:C比例是希望的(参见图1a)。与C2F6S2的物种相比,C2H3F3S和C2F4S2的质谱仪物种显示较低F:C比例。因此,这两个分子可有助于更强的聚合物特性。
还对C2F6S2进行材料相容性测试。在其中具有不锈钢、镍、铝、PCTFE(聚一氯三氟乙烯)、PVDF(聚偏氟乙烯)和PTFE(聚四氟乙烯)的样品的抽空的不锈钢容器中引入并分离C2F6S2的蒸气。因此,分离后容器中的压力约为C2F6S2的蒸汽压(0.6巴,在20℃下)。将容器维持在该压力和室温下一个月。没有观察到样品分解。
实施例3
使用C2H3F3S在1x1cm2Si试样上进行等离子体蒸气沉积测试。该测试在市售LAM4520XLE蚀刻机上进行,示意于图6。蚀刻机为双频电容耦合等离子体反应器,装备有两个8英寸电极,其允许独立控制数密度和离子能量。将上电极与27MHz供电装置(powersupply)(源功率(sourcepower))连接,这允许独立控制数密度。将Si试样置于与2MHz供电装置(偏置功率)连接的温度受控的底电极,这允许独立控制离子能量。在等离子体方法期间,上电极上的8英寸的硅莲蓬头(siliconshowerhead)允许进料气体的均匀分布。
将15sccmC2H3F3S经由250sccmAr气体通过莲蓬头60秒引入蚀刻机,所述蚀刻机具有750W/0W偏压、30毫托压力和1.35cm静电吸盘的顶部表面与莲蓬头的底部表面之间的狭缝。将90nm聚合物膜沉积在Si试样上(通过扫描电子显微镜(SEM)以3点测量)。因此,沉积速率为约90nm/分钟。将样品送去X射线光电子能谱(XPS)分析。所得的绘出X轴上的原子的电子结合能相对于Y轴上的计数(检测到的电子数)的XPS图显示于图7。图7的宽扫描元素分析显示存在C、F、O和S峰,更特别地位于约228ev的S2s峰和位于约164eV的S2p峰。
沉积膜的一些部分包括粉末材料(参见图8A和8B)。在图8A和8B中,在粉末颗粒下可见沉积的均匀膜。甚至在施加1500W偏置功率时,在不加入氧气时,在Si晶片上观察到粉末材料。本领域普通技术人员认识到聚合物和粉末形成可以通过改变工艺参数如偏置功率和/或氧气流动速率而控制。
实施例4
使用C2F4S2在1x1cm2Si试样上进行等离子体蒸气沉积测试。该测试在与实施例3中使用的相同的市售LAM4520XLE蚀刻机上进行且示意于图6。将上电极与27MHz供电装置(源功率)连接,这允许独立控制数密度。将Si试样置于与2MHz供电装置(偏置功率)连接的温度受控的底电极,这允许独立控制离子能量。在等离子体方法期间,上电极上的8英寸的硅莲蓬头允许进料气体的均匀分布。
将15sccmC2F4S2经由250sccmAr气体通过莲蓬头60秒引入蚀刻机,所述蚀刻机具有750W/0W偏置功率、30毫托压力和1.35cm静电吸盘的顶部表面与莲蓬头的底部表面之间的狭缝。将75nm聚合物膜沉积在Si试样上(通过SEM以3点测量)。因此,沉积速率为约75nm/分钟。
对比实施例2
使用C2F6S2在1x1cm2Si试样上进行等离子体蒸气沉积测试。将15sccmC2F6S2经由250sccmAr气体经60秒引入图6的蚀刻机,所述蚀刻机具有750W/0W偏置功率、30毫托压力和1.35cm狭缝。没有沉积膜。将样品送去XPS分析。所得XPS图显示于图9,仅显示出Si和O峰,更特别地位于约156ev的Si2s峰和位于约105eV的Si2p峰。图9中没有C或S的证据表明没有形成保护聚合物。缺少沉积在等离子体蚀刻方法期间不能提供足够的钝化且因此可导致蚀刻结构的更高卷曲。因此,C2F6S2蚀刻剂不可用于要求剖面控制或侧壁钝化的应用。
实施例5
使用C2H3F3S在4个1x1cm2试样上进行蚀刻试验,使用双面碳胶带将所述试样粘附于200mmSi载体晶片上。所研究的4个基材材料为氧化硅(SiO2)、氮化硅(SiN)、多晶硅(p-Si)和无定形碳(a-C)。蚀刻测试在图6的蚀刻机上进行,所述蚀刻机设定为30毫托的压力、750W(27MHz)源功率和1500W(2MHz)的偏置功率。进料混合物含有250sccmAr和15sccmC2H3F3S。氧气(O2)流动速率由0sccm变化为15sccm。蚀刻速率使用椭圆仪测量,且沉积速率由SEM通过测量作为工艺时间函数的膜厚度变化测量。
将SiO2、SiN、p-Si和a-C使用C2H3F3S的所得蚀刻速率作为氧气流动速率(以sccm计)的函数绘图且显示于图10。正向y-轴表示蚀刻速率,反向y-轴表示沉积速率。x-轴为氧气流动速率(以sccm计)。随着氧气加入,SiO2:a-C选择性急剧下降且存在其中a-C蚀刻速率高于SiO2和SiN膜的那些的条件。
如图10中所示,在不加入氧气时(0sccmO2条件),SiO2和SiN的蚀刻速率彼此接近(其中选择性~1:1且蚀刻速率~300nm/min),但是在p-Si和a-C基材看到均匀沉积(无粉末)。结果,该化合物可适合用于3DNAND方法,其要求SiO2和SiN的蚀刻速率相似且p-Si和a-C的蚀刻速率低。SiO2和SiN的相似蚀刻速率可产生较少卷曲和皱化。p-Si和a-C的低蚀刻速率可有助于保护掩模材料。然而,SiO2和SiN的蚀刻速率小于标准cC4F8气体的那些(在550nm/min以上)。可加入额外的蚀刻气体如CF4以提高蚀刻速率(参见实施例6)。
对比实施例3
使用cC4F8作为氧气流动速率的函数在类似实施例5中描述的工艺条件下进行蚀刻试验。结果显示于图13。如图13所示,在不加入氧气时,SiO2和SiN相对于p-Si和a-C的选择性小于C2H3F3S。
对比实施例4
使用C4F6作为氧气流动速率的函数在类似实施例5中描述的工艺条件下进行蚀刻试验。结果显示于图14。如图14所示,SiO2和SiN相对于p-Si和a-C的选择性对于C4F6而言高于其对于C2H3F3S。然而,C4F6的SiO2:SiN选择性高于其在实施例5中,使得C4F6对一些应用较不适合。另一方面,C2H3F3S分子在不加入氧气时对于SiO2和SiN得到相似蚀刻速率,如图10所示。
实施例6
为了使SiO2/SiN膜的C2H3F3S蚀刻速率改善至与cC4F8性能相当,将CF4加入250sccmAr和15sccmC2H3F3S的蚀刻气体混合物。CF4的加料由0sccm变化为15sccm。如图11中所示,将CF4加入工艺气体混合物将SiO2/SiN的蚀刻速率提高至400nm/min及其以上,同时维持对p-Si和a-C的选择性,使其成为用于3DNAND应用的优异分子。
对比实施例5
还进行如下蚀刻试验,其中将CF4加入250sccmAr和15sccmcC4F8的蚀刻气体混合物且结果显示于图15。如图15所示,所有4个基材的蚀刻速率增加且因此没有获得选择性改善。另一方面,使用C2H3F3S,SiO2和SiN的蚀刻速率增加,由此提高对p-Si和a-C的选择性。
实施例7
使用C2F4S2在4个1x1cm2试样上进行蚀刻试验,使用双面碳胶带将所述试样粘附于200mmSi载体晶片上。所研究的4个基材材料为氧化硅(SiO2)、氮化硅(SiN)、多晶硅(p-Si)和无定形碳(a-C)。蚀刻测试在图6的蚀刻机上进行,所述蚀刻机设定为30毫托的压力、750W(27MHz)功率和1500W(2MHz)的偏置功率。进料混合物含有250sccmAr和15sccmC2F4S2。氧气(O2)流动速率由0sccm变化为15sccm。蚀刻速率使用椭圆仪测量,且沉积速率使用SEM通过测量作为工艺时间函数的膜厚度变化测量。
将SiO2、SiN、p-Si和a-C使用C2F4S2的所得蚀刻速率作为氧气流动速率(以sccm计)的函数绘图且显示于图12。正向y-轴表示蚀刻速率,而负向y-轴表示沉积速率。x-轴为氧气流动速率(以sccm计)。随着氧气速率增加,SiO2的蚀刻速率降低,而p-Si和a-C的蚀刻速率增加。结果,SiO2对a-C和p-Si的选择性随着氧气流动速率的增加而降低。
如图12中所示,在15sccm氧气流动速率下,SiO2、SiN和p-Si的蚀刻近似相同。这可表明在该流动速率下C2F4S2产生游离的氟作为主要的蚀刻剂,使得C2F4S2成为高度聚合气体如C4F6和C5F8的良好添加剂。
尽管显示和描述了本发明的实施方案,本领域技术人员可不偏离本发明的精神或教导而做出其改进。本文所述实施方案仅为示例的且不是限制性的。组合物和方法的许多变化和改进是可能的且在本发明的范围内。因此,保护的范围不限于本文所述实施方案,而是仅受下面的权利要求书限制,其范围应包括权利要求主题的所有等价物。

Claims (12)

1.一种等离子体蚀刻位于基材上的含硅层的方法,所述方法包括:
将化合物蒸气引入包含位于基材上的含硅层的室中,所述化合物具有选自如下的式:
R1-SH
R2-S-R3
C2F4S2(CAS1717-50-6)
其中R1、R2和R3各自独立地为饱和的C1-C4烷基或氟烷基且R2和R3可连接而形成5或6员含S环;
将惰性气体引入所述室中;
产生等离子体以由所述蒸气生产经活化的蒸气;和
从所述室中除去挥发性副产物,其中经活化的蒸气与含硅层选择性反应以形成挥发性副产物。
2.根据权利要求1的方法,其中所述化合物为C2F4S2(CAS1717-50-6)。
3.根据权利要求1的方法,其中所述化合物具有式R1-SH。
4.根据权利要求3的方法,其中所述化合物选自F3CSH(CAS1493-15-8)、F3C-CF2-SH(CAS1540-78-9)、F3C-CH2-SH(CAS1544-53-2)、CHF2-CF2-SH(812-10-2)、CF3-CF2-CH2-SH(CAS677-57-6)和F3C-CH(SH)-CF3(CAS1540-06-3)。
5.根据权利要求1的方法,其中所述化合物具有式R2-S-R3
6.根据权利要求5的方法,其中所述化合物选自F3C-S-CF3(CAS371-78-8)、F3C-S-CHF2(CAS371-72-2)、F3C-CF2-S-CF2-CF3(CAS155953-22-3)和F3C-CF2-CF2-S-CF2-CF2-CF3(CAS356-63-8)。
7.根据权利要求5的方法,其中R2和R3连接而形成5-6员含S环。
8.根据权利要求7的方法,其中所述化合物选自c(-S-CF2-CF2-CHF-CF2-)(CAS1035804-79-5)、c(-S-CF2-CHF-CHF-CF2-)(CAS30835-84-8)、c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS24345-52-6)、c(-S-CFH-CF2-CF2-CFH-)(2R,5R)(CAS1507363-75-8)、c(-S-CFH-CF2-CF2-CFH-)(2R,5S)(CAS1507363-76-9)和c(-S-CFH-CF2-CF2-CH2-)(CAS1507363-77-0)。
9.根据权利要求1-8中任一项的方法,其中所述含硅层包括氧化硅、氮化硅、多晶硅或其组合的层。
10.根据权利要求1-8中任一项的方法,其进一步包括将氧化剂引入所述室中。
11.根据权利要求10的方法,其中氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2,及其组合。
12.根据权利要求1-8中任一项的方法,其进一步包括将蚀刻气体引入所述室中,其中所述蚀刻气体选自cC5F8、cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2;CF3I;C2F3I;C2F5I;SO2;反-1,1,1,4,4,4-六氟-2-丁烯;顺-1,1,1,4,4,4-六氟-2-丁烯;六氟异丁烯;六氟环丁烷(反-1,1,2,2,3,4);五氟环丁烷(1,1,2,2,3-);四氟环丁烷(1,1,2,2-);和六氟环丁烷(顺-1,1,2,2,3,4)。
CN201480049399.0A 2013-09-09 2014-09-09 使用蚀刻气体蚀刻半导体结构的方法 Active CN105580116B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010060259.0A CN111261512B (zh) 2013-09-09 2014-09-09 使用蚀刻气体蚀刻半导体结构的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361875321P 2013-09-09 2013-09-09
US61/875,321 2013-09-09
PCT/US2014/054780 WO2015035381A1 (en) 2013-09-09 2014-09-09 Method of etching semiconductor structures with etch gases

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010060259.0A Division CN111261512B (zh) 2013-09-09 2014-09-09 使用蚀刻气体蚀刻半导体结构的方法

Publications (2)

Publication Number Publication Date
CN105580116A true CN105580116A (zh) 2016-05-11
CN105580116B CN105580116B (zh) 2020-02-07

Family

ID=52629027

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201480049399.0A Active CN105580116B (zh) 2013-09-09 2014-09-09 使用蚀刻气体蚀刻半导体结构的方法
CN202010060259.0A Active CN111261512B (zh) 2013-09-09 2014-09-09 使用蚀刻气体蚀刻半导体结构的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202010060259.0A Active CN111261512B (zh) 2013-09-09 2014-09-09 使用蚀刻气体蚀刻半导体结构的方法

Country Status (7)

Country Link
US (2) US9773679B2 (zh)
JP (3) JP6423885B2 (zh)
KR (3) KR102400414B1 (zh)
CN (2) CN105580116B (zh)
SG (2) SG10201807360YA (zh)
TW (2) TWI612182B (zh)
WO (1) WO2015035381A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109796981A (zh) * 2017-11-16 2019-05-24 三星电子株式会社 蚀刻气体混合物、图案形成方法和集成电路装置制造方法
CN110178206A (zh) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN111052318A (zh) * 2017-08-31 2020-04-21 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻多个堆叠层的化学过程
CN111316405A (zh) * 2017-10-31 2020-06-19 乔治洛德方法研究和开发液化空气有限公司 用于3d nand和dram应用的含有-nh2官能团的氢氟烃
CN112313780A (zh) * 2018-06-22 2021-02-02 关东电化工业株式会社 使用了包含硫原子的气体分子的等离子体蚀刻方法
CN112912994A (zh) * 2018-10-26 2021-06-04 关东电化工业株式会社 包含含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法
CN112956002A (zh) * 2018-10-26 2021-06-11 关东电化工业株式会社 包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI733431B (zh) 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
CN116779435A (zh) 2016-12-09 2023-09-19 Asm Ip 控股有限公司 热原子层蚀刻工艺
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
KR20220143158A (ko) 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
CN111886678A (zh) * 2018-03-16 2020-11-03 朗姆研究公司 在电介质中的高深宽比特征的等离子体蚀刻化学过程
CN112368805A (zh) * 2018-12-18 2021-02-12 玛特森技术公司 使用含硫工艺气体的含碳硬掩模去除工艺
WO2020008703A1 (ja) * 2019-04-19 2020-01-09 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2020263718A1 (en) * 2019-06-24 2020-12-30 Lam Research Corporation Selective carbon deposition
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
JP2021106212A (ja) * 2019-12-26 2021-07-26 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
WO2023234304A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法
WO2023234305A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法
WO2024019124A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
CN1950932A (zh) * 2004-05-03 2007-04-18 国际商业机器公司 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN101048857A (zh) * 2004-10-27 2007-10-03 国际商业机器公司 用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20100264116A1 (en) * 2007-09-28 2010-10-21 Zeon Corporation Plasma etching method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3230284B2 (ja) 1992-07-21 2001-11-19 ソニー株式会社 位相シフト・マスクの製造方法
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
JP3282243B2 (ja) 1992-10-31 2002-05-13 ソニー株式会社 ドライエッチング方法
JP3297939B2 (ja) * 1992-11-17 2002-07-02 ソニー株式会社 ドライエッチング方法
JPH07211694A (ja) 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
JPH11111680A (ja) * 1997-09-30 1999-04-23 Yasuhiro Horiike エッチング方法
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP3555737B2 (ja) 1998-07-22 2004-08-18 セントラル硝子株式会社 クリーニングガス
JP3611723B2 (ja) 1998-07-22 2005-01-19 セントラル硝子株式会社 エッチングガス
KR100574923B1 (ko) * 1999-07-21 2006-05-02 삼성전자주식회사 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
US6540930B2 (en) * 2001-04-24 2003-04-01 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP3672900B2 (ja) * 2002-09-11 2005-07-20 松下電器産業株式会社 パターン形成方法
WO2006012297A1 (en) * 2004-06-29 2006-02-02 Unaxis Usa Inc. Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
WO2007116515A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
CN101625966A (zh) * 2008-07-11 2010-01-13 东京毅力科创株式会社 基板处理方法
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP5862012B2 (ja) * 2010-02-01 2016-02-16 セントラル硝子株式会社 ドライエッチング剤及びドライエッチング方法
CN101800175B (zh) * 2010-02-11 2011-07-20 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法
CN104106127B (zh) * 2012-02-09 2016-08-17 东京毅力科创株式会社 半导体制造装置的制造方法和半导体制造装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
CN1950932A (zh) * 2004-05-03 2007-04-18 国际商业机器公司 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN101048857A (zh) * 2004-10-27 2007-10-03 国际商业机器公司 用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复
US20100264116A1 (en) * 2007-09-28 2010-10-21 Zeon Corporation Plasma etching method
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110178206A (zh) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN110178206B (zh) * 2016-12-30 2023-08-18 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN111052318A (zh) * 2017-08-31 2020-04-21 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻多个堆叠层的化学过程
CN111316405A (zh) * 2017-10-31 2020-06-19 乔治洛德方法研究和开发液化空气有限公司 用于3d nand和dram应用的含有-nh2官能团的氢氟烃
CN111316405B (zh) * 2017-10-31 2023-04-04 乔治洛德方法研究和开发液化空气有限公司 用于3d nand和dram应用的含有-nh2官能团的氢氟烃
CN109796981A (zh) * 2017-11-16 2019-05-24 三星电子株式会社 蚀刻气体混合物、图案形成方法和集成电路装置制造方法
CN109796981B (zh) * 2017-11-16 2022-11-22 三星电子株式会社 蚀刻气体混合物、图案形成方法和集成电路装置制造方法
CN112313780A (zh) * 2018-06-22 2021-02-02 关东电化工业株式会社 使用了包含硫原子的气体分子的等离子体蚀刻方法
CN112313780B (zh) * 2018-06-22 2024-03-08 关东电化工业株式会社 使用了包含硫原子的气体分子的等离子体蚀刻方法
CN112912994A (zh) * 2018-10-26 2021-06-04 关东电化工业株式会社 包含含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法
CN112956002A (zh) * 2018-10-26 2021-06-11 关东电化工业株式会社 包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法

Also Published As

Publication number Publication date
SG11201601839RA (en) 2016-04-28
KR102305297B1 (ko) 2021-09-24
JP2020155773A (ja) 2020-09-24
KR20160054558A (ko) 2016-05-16
JP6676724B2 (ja) 2020-04-08
CN105580116B (zh) 2020-02-07
CN111261512A (zh) 2020-06-09
US9773679B2 (en) 2017-09-26
TW201812101A (zh) 2018-04-01
US10115600B2 (en) 2018-10-30
KR102480249B1 (ko) 2022-12-21
JP6997237B2 (ja) 2022-02-04
WO2015035381A1 (en) 2015-03-12
SG10201807360YA (en) 2018-09-27
JP6423885B2 (ja) 2018-11-14
TWI612182B (zh) 2018-01-21
US20170352546A1 (en) 2017-12-07
KR102400414B1 (ko) 2022-05-19
CN111261512B (zh) 2024-02-06
KR20220065902A (ko) 2022-05-20
TWI642809B (zh) 2018-12-01
TW201514341A (zh) 2015-04-16
US20160307764A1 (en) 2016-10-20
JP2019033277A (ja) 2019-02-28
JP2016529740A (ja) 2016-09-23
KR20210116713A (ko) 2021-09-27

Similar Documents

Publication Publication Date Title
CN105580116A (zh) 使用蚀刻气体蚀刻半导体结构的方法
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
TWI588240B (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant