CN112313780A - 使用了包含硫原子的气体分子的等离子体蚀刻方法 - Google Patents

使用了包含硫原子的气体分子的等离子体蚀刻方法 Download PDF

Info

Publication number
CN112313780A
CN112313780A CN201980041905.4A CN201980041905A CN112313780A CN 112313780 A CN112313780 A CN 112313780A CN 201980041905 A CN201980041905 A CN 201980041905A CN 112313780 A CN112313780 A CN 112313780A
Authority
CN
China
Prior art keywords
etching
plasma
gas
sio
sin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980041905.4A
Other languages
English (en)
Other versions
CN112313780B (zh
Inventor
加藤惟人
高桥至直
下田光春
池谷庆彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kanto Denka Kogyo Co Ltd
Original Assignee
Kanto Denka Kogyo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kanto Denka Kogyo Co Ltd filed Critical Kanto Denka Kogyo Co Ltd
Publication of CN112313780A publication Critical patent/CN112313780A/zh
Application granted granted Critical
Publication of CN112313780B publication Critical patent/CN112313780B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种等离子体蚀刻方法,其在半导体制造工艺中,可以对掩模材料选择性地加工由SiO2、SiN之类的单独的材料、或SiO2、SiN的复合材料形成的膜,而且加工时得到良好的垂直加工形状。将包含通式(1):Rf1‑S‑Rf2(1)(式中,Rf1为CxHyFz所示的一价的有机基团,Rf2为CaHbFc所示的一价的有机基团)式(1)所示的具有硫醚骨架的气体化合物的混合气体、或将单独进行等离子体化,对由SiO2、SiN之类的单独的材料、或复合材料形成的膜进行蚀刻,从而与使用通常的氢氟碳气体的情况相比,氟原子的含量少,而且包含硫原子的保护膜沉积,从而可以实现改善与掩模材料、其它非蚀刻对象材料的选择性、降低对侧壁的损伤、抑制向横向的蚀刻等。

Description

使用了包含硫原子的气体分子的等离子体蚀刻方法
技术领域
本发明涉及使用了包含氟、氢、碳和硫原子的硫醚化合物的干蚀刻方法。
背景技术
目前,半导体器件为了高速化、节约电力,而积极地尝试了微细化和新型材料的利用等,半导体器件的微细加工中,通过使用了氟化碳(以下也称为“FC”)气体、氢氟碳(以下也称为“HFC”)气体等离子体的干蚀刻而进行。
将FC气体、HFC气体等离子体化,对利用掩模材料使SiO2、SiN图案化的基板进行蚀刻的情况下,例如SiO2图案中,FC、HFC中所含的碳原子与SiO2的氧反应,生成CO、CO2那样的挥发性的产物,因此蚀刻进行,但碳成分残留于掩模材料、不含O的膜,蚀刻变得不易进行。因此,对掩模材料选择地蚀刻SiO2
另外,将FC气体、HFC气体等离子体化而产生的CFx自由基吸附于SiO2表面,但相应地反应不进行。然而,使用具有将Ar+等无化学反应性的离子、CF3 +等也有化学反应性的离子引入基板那样的机构的等离子体蚀刻装置的情况下,离子击中CFx自由基沉积的SiO2表面,由于离子所具有的动能而SiO2表面与CFx自由基的反应得到促进,产生挥发性的产物。将这种蚀刻通常称为离子辅助蚀刻。
离子辅助蚀刻中,离子碰撞至CFx自由基吸附的表面从而蚀刻反应进行,因此,从垂直于SiO2图案的基板的方向照射离子的情况下,蚀刻沿所照射的离子碰撞的垂直方向进行。然而,图案的水平方向(图案的侧壁)不易引起离子的碰撞,因此,蚀刻中未使用的CFx自由基残留,通过自由基彼此的反应而产生氟化碳聚合物。该氟化碳聚合物有抑制氟自由基那样的反应性高的自由基与SiO2侧壁的反应、或防止从除垂直方向以外侵入的离子碰撞侧壁而SiO2侧壁被蚀刻的效果,另外,也沉积于掩模材料,有改善掩模材料与蚀刻对象的选择比的效果。通过这种离子辅助蚀刻,边保护侧壁、掩模边进行蚀刻,从而可以实现蚀刻沿图案的垂直方向选择性地进行的各向异性蚀刻,通过应用该方法,从而可以以高长径比蚀刻成微细的图案。
基于离子辅助蚀刻的SiO2的高长径比的图案蚀刻中,在C4F8、C4F6那样的等离子体中使用了容易产生CF、CF2之类的氟原子数少的自由基的FC气体。SiN的图案蚀刻中,使用了CH2F2、CH3F之类的包含H的HFC气体。
另外,重要的是,高长径比的图案的加工形状维持存在于蚀刻对象的材料的上层的掩模材料的加工形状。在使掩模材料开口时进行的等离子体蚀刻、使用经该开口的掩模对SiO2、SiN之类的材料进行蚀刻时,掩模材料被暴露于等离子体中的氟自由基、氧自由基之类的活性高的自由基、反应性高的离子,从而受到损伤。由于该损伤而掩模的形状变形,其下层的蚀刻对象也受到影响,加工形状会歪斜。
出于抑制这种掩模的变形的目的,在等离子体蚀刻中使用有COS、SO2之类的包含硫原子的气体。非专利文献1中记载了如下结果:在将用作SiO2蚀刻的掩模材料的无定形碳层(以下,有时称为ACL)掩模开口时的等离子体蚀刻中添加COS,从而在开口后进行的SiO2蚀刻中,掩模的蚀刻被抑制,ACL掩模与SiO2的选择比改善约20%。作为该COS添加得到的效果,记载了:从用添加了COS的等离子体处理过的ACL掩模中检测到硫原子,基于C=S的钝化效果。
专利文献1中有如下记载:形成灰化硬掩模(AHM:由碳、氢和任意选择微量的掺杂剂构成的能通过灰化去除的硬掩模)时添加H2S、CS2,从而形成具有低氢含量的硫掺杂AHM,该AHM降低蚀刻速率,且容易去除,因此,可以得到用于有效的半导体处理的高选择性的硬掩模。
本发明中,出于改善与掩模材料的选择性、改善加工形状的目的,使用包含硫的蚀刻气体,但本发明中使用的蚀刻气体中,使用非专利文献1中记载的COS、SO2中不含的具有F原子的硫醚化合物,从而可以边对SiO2那样的蚀刻对象进行蚀刻,边进行ACL那样的掩模材料的蚀刻抑制和图案侧壁的保护。
专利文献2中,作为包含硫原子的蚀刻气体,记载有使用了CSF2、S2F2的蚀刻。SiN上层叠有SiO2的2层结构中,仅对SiO2进行蚀刻,想要用SiN终止蚀刻的情况下,通过使用包含硫原子的这些蚀刻气体,从而硫与SiN中所含的氮反应,生成不易被蚀刻的氮化硫,因此,SiO2对SiN的蚀刻选择性得到改善。本发明中特征也在于使用包含硫的蚀刻气体,但本发明中使用的蚀刻气体中包含专利文献1中记载的CSF2、S2F2中不含的氢原子,从而可以对包含氮原子的Si系膜(SiN、SiON等)进行蚀刻。
专利文献3中记载了:图案高长径比结构的蚀刻中,出于改良含Si层与掩模材料之间的选择性、改善蚀刻形状的目的,通过使用C2F4S2(2,2,4,4-四氟-1,3-二硫杂环丁烷)、C2H3CF3S(2,2,2-三氟乙硫醇)等作为蚀刻气体,从而在SiO2、SiN的蚀刻中,可以改善对非晶硅(以下,有时称为a-Si)、无定形碳的选择性。本发明中使用挥发性高、沸点低的硫醚化合物而不是如现有发明中的2,2,4,4-四氟-1,3-二硫杂环丁烷、2,2,2-三氟乙硫醇那样沸点高的蚀刻气体,从而容易进行气体向蚀刻装置的供给,另外,通过调节蚀刻条件,从而对于a-Si、ACL那样的掩模材料,不仅对于SiO2、SiN选择性地进行蚀刻,而且还可以相对于SiO2选择性地蚀刻SiN。
现有技术文献
专利文献
专利文献1:日本特开2015-70270号公报
专利文献2:日本特开平7-211694号公报
专利文献3:日本特表2016-529740号公报
非专利文献
非专利文献1:Journal ofVacuum Science&Technology A:Vacuum,Surfaces,andFilms 31,021301(2013)
发明内容
发明要解决的问题
DRAM、NAND闪存、逻辑器件那样的半导体器件出于高容量化、低消耗电力化、高速动作的目的而要求微细化,但近年来微细化达到一位数nm水平,现状是基于迄今为止的器件结构难以实现高容量化、低消耗电力化、高速动作。因此,NAND闪存中,在最尖端制造了形成垂直堆积器件结构的结构的被称为3D-NAND的三维器件结构。另外,即使在逻辑器件中,为了抑制基于微细化的栅漏电流的增加,在最前沿,使通道结构成为三维结构并用栅绝缘膜包围其周围那样的称为Fin-FET的结构也是主流(图1)。
如此,在尖端器件中,器件结构是三维的,从而干蚀刻所要求的蚀刻特性也复杂化。例如,形成3D-NAND的通道孔时的干蚀刻中,必须以ACL那样的材料为掩模,对层叠有多层SiO2与SiN的膜以高长径比进行蚀刻(图2)。此时,必须对掩模选择性地同时蚀刻SiO2和SiN之类的不同材质的膜,随着器件的高容量化,现状是进一步期望以高长径比进行蚀刻。
另一方面,在逻辑器件中,特别是在尖端逻辑器件中,在通过层叠Si、SiO2、SiN、低介电常数(Low-k)材料(SiOC)等各种类型的包含Si的材料而构建了器件结构的关系中,对于加工中使用的等离子体蚀刻,不仅对掩模材料而且对除此之外的包含Si的组成的类似的膜也要求高选择性。
对上述那样的3D-NAND的SiO2和SiN重叠几级的层叠结构的高长径比蚀刻、制作尖端逻辑器件中的Fin-FET结构时的蚀刻中,不仅与前述掩模材料等的选择性而且加工形状的控制也成为重要的课题。离子辅助蚀刻是出于如下目的而使用的:CFx自由基吸附,在离子接触的部分容易进行蚀刻,因此在实际的器件制造工艺中使用的等离子体蚀刻装置中,将等离子体中的离子电吸引至硅基板,从而进行垂直方向的加工。然而,实际的蚀刻中,离子不只仅从垂直方向照射,而且来自倾斜的入射、高长径比的图案内,离子的轨道也有时弯曲。作为结果,形状膨胀、或变细、或弯曲,由此成为不期望的形状,有时会引起成品率的降低。
用于解决问题的方案
本发明人等为了解决上述问题而进行了深入研究,结果发现:将包含具有特定的硫醚骨架的气体化合物的混合气体、或将单独进行等离子体化并用于干蚀刻,从而可以实现对非蚀刻对象材料的选择性的改善、对特定的包含Si的材料的选择性的改善、进一步蚀刻加工形状的改善,至此完成了本发明。
根据本发明,提供以下的方式。
[1]
一种方法,其利用包含下述通式(1)所示化合物的气体等离子体对含有Si的膜进行蚀刻。
Rf1-S-Rf2 (1)
(式(1)中,Rf1为CxHyFz所示的一价的有机基团,x、y、z分别为满足x=1~3、y=1~7、z=0~6、y+z≤2x+1的正数,Rf2为CaHbFc所示的一价的有机基团,a、b、c分别为满足a=1~3、b=0~6、c=1~7、b+c≤2a+1的正数。)
[2]
根据[1]所述的方法,其中,前述含有Si的膜为由含有Si的1种材料构成的单层膜或为含有Si的2种以上的材料层叠而成的层叠膜。
[3]
根据[1]或[2]所述的方法,其中,前述气体等离子体在通式(1)所示化合物的基础上还包含选自由HF、HCl、HBr、HI、CF4、CHF3、CH2F2、CH3F、COF2、C2F6、C3F6、C3F8、C4F8、C4F6、C5F8、NF3和SF6组成的组中的至少1种。
[4]
根据[1]~[3]中任一项所述的方法,其中,前述含有Si的膜与未经蚀刻的材料一起构成结构体,
在蚀刻期间,使包含S且F含量为1%以下的膜沉积于前述结构体的表面。
[5]
根据[1]~[4]中任一项所述的方法,其中,前述含有Si的膜为由选自由SiO2、SiN、SiON、SiCN、SiOC和SiOCN组成的组中的至少1种材料构成的膜。
[6]
根据[1]~[5]中任一项所述的方法,其中,前述未经蚀刻的材料为选自由无定形碳层(ACL)、旋涂碳(SOC)、TiN、TaN和光致抗蚀剂组成的组中的至少1种。
[7]
根据[1]~[6]中任一项所述的方法,其中,通式(1)所示化合物为选自由CHF2-S-CF3、CH2F-S-CF3、CH3-S-CF3、CH3-S-CHF2、CH3-S-CH2F、CH2F-S-CH2F、CH2F-S-CHF2和CHF2-S-CHF2组成的组中的至少1种。
[8]
根据[1]~[7]中任一项所述的方法,其中,前述气体等离子体还包含选自由N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2和SO3组成的组中的至少1种。
[9]
根据[1]~[8]中任一项所述的方法,其中,前述气体等离子体还包含选自由H2、NH3和SiH4组成的组中的至少1种。
[10]
根据[1]~[9]中任一项所述的方法,其中,前述气体等离子体还包含选自由He、Ar、Ne、Kr和Xe组成的组中的至少1种。
根据本发明,还提供一种方法,其将下述通式(1)所示化合物用于等离子体蚀刻,
Rf1-S-Rf2 (1)
(式(1)中,Rf1为CxHyFz所示的一价的有机基团,x、y、z分别为满足x=1~3、y=1~7、z=0~6、y+z≤2x+1的正数,Rf2为CaHbFc所示的一价的有机基团,a、b、c分别为满足a=1~3、b=0~6、c=1~7、b+c≤2a+1的正数。)。
发明的效果
根据本发明,将包含通式(1)所示的具有特定的硫醚骨架的气体化合物的混合气体、或将单独进行等离子体化并用于干蚀刻,从而消除以往的问题、课题等,具有如下的优点。
(1)本发明的具有特定的硫醚骨架的气体化合物在分子内具有氢原子、氟原子,从而在等离子体蚀刻时,与通常的HFC气体同样地,能对SiO2、SiN进行蚀刻,进一步通过硫原子的效果,对非蚀刻对象材料产生不易挥发的产物,阻碍蚀刻,因此,相对于非蚀刻对象物质,能够以比通常的HFC气体更高选择性地来蚀刻对象材料。
(2)本发明的具有特定的硫醚骨架的气体化合物在等离子体蚀刻中与通常的HFC气体同样产生聚合物膜。该聚合物膜跟通过与本发明的气体化合物近似的组成的HFC气体等离子体而产生的聚合物膜相比,有如下特征:以大致同等的沉积速度沉积,但容易进行基于离子的蚀刻。另外,如果比较聚合物膜的成分,则与通过HFC气体产生的聚合物膜相比,氟原子的含量低。出于这种特征,在图案蚀刻中,如果使用本发明的具有特定的硫醚骨架的气体化合物,则垂直方向的蚀刻由于离子碰撞而与通常的HFC气体同样没有问题地进行蚀刻,但对于侧壁方向,反应性高的氟原子的含量少的聚合物膜沉积,从而能够抑制蚀刻反应。结果,能进行垂直加工形状良好的等离子体蚀刻。
(3)对包含一般使用的蚀刻气体(HF、HCl、HBr、HI、CF4、CHF3、CH2F2、CH3F、COF2、C2F6、C3F6、C3F8、C4F8、C4F6、C5F8、NF3、SF6等)的气体等离子体添加本发明的具有特定的硫醚骨架的气体化合物,从而与未添加时的气体等离子体相比,能够提高蚀刻对象材料对非蚀刻对象材料的选择比。
(4)对包含一般使用的蚀刻气体(HF、HCl、HBr、HI、CF4、CHF3、CH2F2、CH3F、COF2、C2F6、C3F6、C3F8、C4F8、C4F6、C5F8、NF3、SF6等)的气体等离子体添加本发明的具有特定的硫醚骨架的气体化合物,从而与未添加时的气体等离子体相比,能进行垂直加工形状良好的等离子体蚀刻。
附图说明
图1为示出通常的Fin-FET的结构的图。
图2为示出通常的3D-NAND通道孔蚀刻的图。
图3为本发明的实施例中使用的等离子体蚀刻装置的示意图。
图4为本发明的实施例中使用的SOC/SiN图案晶圆的示意图。
图5为本发明的实施例中使用的KrF抗蚀剂/SiO2图案晶圆的示意图。
图6为实施例1中的等离子体处理后的SOC/SiN图案晶圆截面的SEM图像。
图7为实施例2中的等离子体处理后的SOC/SiN图案晶圆截面的SEM图像。
图8为比较例2中的等离子体处理后的SOC/SiN图案晶圆截面的SEM图像。
图9为比较例4中的等离子体处理后的SOC/SiN图案晶圆截面的SEM图像。
图10为实施例3、比较例5~8中成为评价基准的KrF抗蚀剂/SiO2图案晶圆截面的SEM图像。
图11为实施例3中的等离子体处理后的KrF抗蚀剂/SiO2图案晶圆截面的SEM图像。
图12为比较例5中的等离子体处理后的KrF抗蚀剂/SiO2图案晶圆截面的SEM图像。
图13为比较例6中的等离子体处理后的KrF抗蚀剂/SiO2图案晶圆截面SEM图像。
图14为比较例7中的等离子体处理后的KrF抗蚀剂/SiO2图案晶圆截面的SEM图像。
图15为比较例8中的等离子体处理后的KrF抗蚀剂/SiO2图案晶圆截面的SEM图像。
具体实施方式
以下,对本发明的等离子体蚀刻方法详细地进行说明。本发明的保护范围不限定于以下中说明的范围,可以在不脱离本发明的主旨的范围内变更。
本发明的等离子体蚀刻方法使用包含下述通式(1)所示的具有硫醚骨架的气体化合物的混合气体、或单独使用气体。
Rf1-S-Rf2 (1)
(式(1)中,Rf1为CxHyFz所示的一价的有机基团,x、y、z分别为满足x=1~3、y=1~7、z=0~6、y+z≤2x+1的正数,Rf2为CaHbFc所示的一价的有机基团,a、b、c分别为满足a=1~3、b=0~6、c=1~7、b+c≤2a+1的正数。)
本发明的等离子体蚀刻方法中,作为通式(1)所示的具有硫醚骨架的气体化合物,从对等离子体蚀刻装置的供给的容易性的观点出发,优选使用满足x=1~2、y=1~3、z=0~3、a=1~2、b=0~3、c=0~3者。而且,更优选使用CHF2-S-CF3、CH2F-S-CF3、CH3-S-CF3、CH3-S-CHF2、CH3-S-CH2F、CH2F-S-CH2F、CH2F-S-CHF2、CHF2-S-CHF2中任意结构的气体化合物。
本发明的等离子体蚀刻方法中,可以使用通式(1)所示的具有硫醚骨架的气体化合物的纯度为95.0vol%~100.0vol%者。优选使用99vol%以上者,更优选使用99.9vol%以上者。作为包含的杂质成分,可以举出N2、O2、CO2、H2O、HF、HCl、SO2、CH4等,这些杂质成分中,H2O、HF、HCl、SO2等腐蚀使气体流通的通路的可能性高,因此,优选尽量通过纯化去除。
本发明的等离子体蚀刻方法中,将通式(1)所示的气体化合物与除此之外的FC气体、HFC气体混合并使用,从而与不将通式(1)所示的气体化合物混合的情况相比,能进一步提高蚀刻对象材料对非蚀刻对象材料的选择比。另外,对由非蚀刻对象材料图案化的结构进行蚀刻的情况下,垂直加工精度也改善。
上述的由非蚀刻对象材料图案化的结构中,蚀刻对象材料为SiO2等包含氧的Si系材料的情况下,将通式(1)所示的气体化合物与CF4、CHF3、C2F6、C3F8、C4F8、C4F6、C5F8等蚀刻气体混合并用于等离子体蚀刻时,在选择性蚀刻、垂直加工精度良好的蚀刻方面优选。特别是,要求选择性高的情况下,优选与C数量多的C4F8、C4F6、C5F8的混合。
由非蚀刻对象材料图案化的结构中,蚀刻对象材料为SiN等包含氮的Si系材料的情况下,将通式(1)所示的气体化合物与CHF3、CH2F2、CH3F等HFC气体混合并用于等离子体蚀刻时,在选择性蚀刻、垂直加工精度良好的蚀刻方面优选。特别是,要求选择性高的情况下,使用C数量为2以上的HFC气体也是有效的。
本发明的等离子体蚀刻方法中,对包含通式(1)所示的气体化合物的气体等离子体,添加N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2、SO3中的任意1者、或其中的多种,从而可以得到抑制过剩的沉积物(deposition)、改善蚀刻对象物的蚀刻速率、改善蚀刻对象物对非蚀刻对象材料的选择性的效果。
针对抑制过剩的沉积物、改善蚀刻对象物的蚀刻速率的目的,特别优选添加O2、O3、CO2、NO、N2O、NO、NO2、SO2、SO3中的任意1者、或其中的多种。其中,特别优选使用O2、O3、NO2、SO2、SO3
针对改善蚀刻对象物的蚀刻速率、改善蚀刻对象物对非蚀刻对象材料的选择性的目的,优选使用N2、CO、COS、N2O,其中,特别优选使用N2、COS。
本发明的等离子体蚀刻方法中,对包含通式(1)所示的气体化合物的气体等离子体,添加H2、NH3、SiH4中的任意1者、或多种,从而可以得到抑制过剩的F自由基的发生,改善蚀刻对象材料与非蚀刻对象材料的选择性、改善包含Si和N的膜的蚀刻速率的效果。针对以这些效果为目的,特别优选H2
本发明的等离子体蚀刻方法中,对包含通式(1)所示的气体化合物的气体等离子体,可以使用He、Ar、Ne、Kr、Xe中的任意稀有气体作为稀释气体。其中,优选使用He、Ar、Xe。
作为本发明的方法中使用的蚀刻气体的例子,可以举出以下例子。
(a)通式(1)所示的气体化合物单独
主要有如下优点:生成包含硫原子的沉积膜,可以以高选择性对掩模材料蚀刻Si系膜。
(b)通式(1)所示的气体化合物(5~80体积%)和稀有气体(20~95体积%)
与单独使用的情况相比,主要具有如下优点:抑制过剩的沉积、抑制蚀刻的停止。
(c)通式(1)所示的气体化合物(5~60体积%)、N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2、SO3中的任意一者或其中的多种(1~50体积%)和稀有气体(0~94体积%)
与单独使用情况相比,主要具有如下优点:抑制过剩的沉积、可以调节掩模材料、不是蚀刻对象的Si系膜与蚀刻对象的Si系膜的选择性。
(d)通式(1)所示的气体化合物(1~50体积%)、CF4、CHF3、C2F6、C3F8、C4F8、C4F6、C5F8中的任意一者或其中的多种(1~50体积%)、N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2、SO3中的任意一者或其中的多种(1~50体积%)和稀有气体(0~97体积%)
与单独使用的情况相比,主要具有如下优点:提高包含O的Si系膜的蚀刻速率、可以改善对于掩模材料的选择性。
(e)通式(1)所示的气体化合物(1~50体积%)、CHF3、CH2F2、CH3F中的任意一者或其中的多种(1~50体积%)、N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2、SO3中的任意一者或其中的多种(0~50体积%)、H2、NH3、SiH4中的任意一者、或多种(0~50体积%)和稀有气体(0~98体积%)
与单独使用的情况相比,主要具有如下优点:抑制过剩的F自由基的发生、提高包含N的Si系膜的蚀刻速率、可以改善对掩模材料的选择性。
本发明的等离子体蚀刻中使用的等离子体蚀刻装置只要是作为等离子体发生方式的CCP(容量耦合型)、ICP(电感耦合型)、ECR(电子回旋共振)等能产生稳定的等离子体的装置就可以不依赖于等离子体源的种类而使用各种等离子体蚀刻装置。另外,本发明的等离子体蚀刻方法中,通过对基板照射等离子体中产生的离子,从而能够提高本发明的效果,因此,优选具备通过电作用将离子引入基板的机构。进而,根据等离子体蚀刻装置,有能分别设定产生等离子体的能量(源功率)、和对基板照射等离子体中的离子的能量(偏压功率)的装置,在更精密地控制加工形状的情况下,优选使用这种装置。
本发明的等离子体蚀刻方法中,进行微细的Si系材料的图案晶圆的垂直加工,因此,等离子体蚀刻中使用的等离子体蚀刻装置需要具备适于离子辅助蚀刻的、能重现低气体压力条件的真空容器的装置。在低压力条件下,等离子体中的颗粒的直行性提高,照射至基板的离子也到达基板而不受其它颗粒的阻碍,因此,垂直于基板入射的离子增加,对于垂直加工是有利的。本发明的等离子体蚀刻方法中,蚀刻时的真空容器内的压力优选调节为100Torr~0.1mTorr,进一步优选调节为100mTorr~0.1mTorr。
本发明的等离子体蚀刻方法中,优选将通式(1)所示的气体化合物作为气体导入等离子体蚀刻装置的真空容器。因此,将通式(1)所示的气体化合物的气体导入本发明的等离子体蚀刻方法中使用的等离子体蚀刻装置中,进而,优选具备用于调节其导入量的机构。另外,对于该机构,本发明的等离子体蚀刻方法根据目的还使用多种除通式(1)所示的气体化合物以外的其它气体化合物、例如O2、Ar、CF4等是有效的,因此,优选具备4个以上的气体导入、调节导入量的机构。
本发明的等离子体蚀刻方法中,通式(1)所示的气体化合物、以及等离子体蚀刻中使用的其它气体化合物优选分别以1~3000sccm的范围调节流量导入等离子体蚀刻装置的真空容器。进而,特别优选以1~1000sccm的范围进行调节。
实施例
以下,根据实施例、比较例对本发明进一步详细地进行说明,但本发明不限定于这些。
以下的例使用图3中记载的CCP方式的等离子体蚀刻装置而进行。向装置导入用于在较低压力下产生等离子体的真空容器、用于控制要处理的样品的温度的温度控制机构、通式(1)所示的气体化合物、以及等离子体蚀刻中使用的其它气体化合物,进而,作为用于调节其导入量的机构,设置具备质量流量控制器的气体配管4个系统。另外,具备用于将真空容器内的压力减压至规定的低压力的涡轮分子泵、干泵这2个真空泵,在真空容器与真空泵之间具备用于调节真空容器内的压力的压力调节阀。图3的装置为对真空容器内的上下一对电极间施加RF功率而产生等离子体的CCP方式的等离子体蚀刻装置,但此外,也可以利用ICP方式的等离子体蚀刻装置、ECR方式的等离子体蚀刻装置等。
本实施例中使用的蚀刻对象样品使用以下的样品。
使用了空白晶圆(未经图案化者)的蚀刻速率的测定
SiN:通过热CVD使SiN沉积于Si基板上300nm。
SiO2:通过等离子体CVD使SiO2沉积在Si基板上1000nm。
上述空白晶圆如下:使用光波干涉式的膜厚计测定等离子体蚀刻前后的膜厚,由其差算出蚀刻速率(数学式1)。[表1]中的选择比由SiN、SiO2的蚀刻量与各掩模材料的蚀刻量算出(数学式2)。
(数学式1)
蚀刻速率(nm/分钟)=
{(蚀刻前的样品膜厚〔nm〕)-(蚀刻后的样品膜厚〔nm〕)}/(蚀刻时间〔分钟〕)
(数学式2)
选择比=
(SiO2或SiN的蚀刻量)/(其它膜的蚀刻量)
使用了图案晶圆的等离子体蚀刻形状的评价
SOC/SiN图案晶圆:如图4所示,使SiN沉积在Si基板上300nm,在其上层叠SOC(依据ACL的碳主体的材料)100nm,在其上层叠SOG(依据SiO2的材料)20nm。掩模的开口幅度成为150nm。
KrF抗蚀剂/SiO2图案晶圆:如图5所示,使SiO2沉积在Si基板上1000nm,在其上层叠防反射膜(BARC:依据SiON的材料)90nm,进一步在其上层叠KrF抗蚀剂(由C、H等构成的树脂材料)380nm。掩模的开口幅度成为270nm。
对于上述图案晶圆,使用扫描型电子显微镜:FE-SEM,分别观察未进行等离子体蚀刻处理的样品和进行了等离子体蚀刻处理的样品的截面。对得到的观察图像进行长度测量,从而评价了由基于等离子体蚀刻的未处理的样品的变化量。
需要说明的是,本说明书中,只要没有特别限定,则气体的组成比的单位为体积%。
另外,膜厚变化量如下:正值表示用基于蚀刻的膜厚减量、用负值表示基于沉积的膜厚增加。
(实施例1)
在CH3SCF3:21.4%O2:7.1%Ar:71.4%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于SOC/SiN图案晶圆样品,进行SiN层被蚀刻约50nm的时间的处理后,用FE-SEM观察样品的截面(图6)。蚀刻时间如下求出:在与对图案晶圆进行蚀刻的条件相同的条件下对SiN空白晶圆进行蚀刻,由以[数学式1]中记载的方法算出的SiN空白晶圆的蚀刻速率,求出SiN被蚀刻50nm的时间。蚀刻后,图案晶圆的SOG层、SOC层、SiN层的膜厚变化量成为SOG:11.3nm SOC:±0nm SiN:56.0nm,对于SOC膜,可以选择性地蚀刻SOG、SiN膜。
(实施例2)
在CH3SCF3:23.5%O2:17.6%Ar:58.8%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于SOC/SiN图案晶圆样品,进行SiN层被蚀刻约50nm的时间的处理后,用FE-SEM观察样品的截面(图7)。蚀刻后,图案晶圆的SOG层、SOC层、SiN层的膜厚变化量成为SOG:20.0nm SOC:±0nm SiN:42.0nm,对于SOC膜,可以选择性地蚀刻SOG、SiN膜。
(比较例1)
在CH2F2:28.6%O2:0%Ar:71.4%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于SOC/SiN图案晶圆样品,进行SiN层被蚀刻约50nm的时间的处理后,用FE-SEM观察样品的截面,结果图案被沉积膜覆盖,得到蚀刻完全未进行的结果。
(比较例2)
在CH2F2:26.7%O2:6.7%Ar:66.7%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于SOC/SiN图案晶圆样品,进行SiN层被蚀刻约50nm的时间的处理后,用FE-SEM观察样品的截面(图8)。蚀刻后,图案晶圆的SOG层、SOC层、SiN层的膜厚变化量成为SOG:20.0nm SOC:2.0nm SiN:43.0nm,如实施例1、实施例2那样,无法实现SiN的选择性蚀刻、对于SOC的SOG、SiN的选择性蚀刻。
(比较例3)
在1,1,1-三氟乙烷(CF3CH3):26.7%O2:6.7%Ar:66.7%压力:10Pa RF功率:300W以上的条件下产生等离子体,对SOC/SiN图案晶圆样品进行蚀刻处理,进行SiN层被蚀刻约50nm的时间的处理后,用FE-SEM观察样品的截面,结果图案被沉积膜覆盖,得到蚀刻完全未进行的结果。
(比较例4)
在1,1,1-三氟乙烷(CF3CH3):25.0%O2:12.5%Ar:62.5%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于SOC/SiN图案晶圆样品,进行SiN层被蚀刻约50nm的时间的处理后,用FE-SEM观察样品的截面(图9)。蚀刻后,图案晶圆的SOG层、SOC层、SiN层的膜厚变化量成为SOG:20.0nm SOC:8.9nm SiN:43.0nm,如实施例1那样,无法实现仅SiN的选择性蚀刻。
将以上的结果归纳于表1。
[表1]
Figure BDA0002850245040000161
根据实施例1、2、比较例1~4,表明,通过使用通式(1)所示的具有硫醚骨架的气体化合物,从而层叠有SOG、SOC的SiN图案中,能够相对于SOG、SOC选择性地蚀刻SiN,另外,通过调整条件,从而能够相对于SOC选择性地蚀刻SOG、SiN。
(实施例3)
在CHF3:28.6%O2:0%Ar:71.4%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于KrF抗蚀剂/SiO2图案晶圆,进行SiO2被蚀刻约300nm的时间的处理后,用FE-SEM观察样品的截面(图10)。蚀刻时间如下求出:在与对图案晶圆进行蚀刻的条件相同的条件下,对SiO2空白晶圆进行蚀刻,由以[数学式1]中记载的方法算出的SiO2空白晶圆的蚀刻速率,求出SiO2被蚀刻300nm的时间。评价蚀刻后的SiO2的开口幅度比蚀刻前的掩模的开口幅度270nm以何种程度变化(被蚀刻的SiO2的开口部与底部)(数学式3)。
(数学式3)
SiO2图案开口部的幅度变化量(以下ΔWt)
=蚀刻后的SiO2开口部的幅度(Wt)-蚀刻前的抗蚀剂的加工幅度(以下Wr)
SiO2图案底部的幅度变化量(以下ΔWb)
=蚀刻后的SiO2图案底部的幅度(Wb)-蚀刻前的抗蚀剂的加工幅度(以下Wr)
结果,成为SiO2蚀刻深度:338.7nm、ΔWt=+36.5nmΔWb=+41.3nm。
将上述结果作为基准,评价添加了CH3SCF3的情况下与基准的结果相比ΔWt、ΔWb比是否变小。
在CHF3:23.3%CH3SCF3:3.3%O2:6.7%Ar:66.7%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于KrF抗蚀剂/SiO2图案晶圆,进行SiO2被蚀刻约300nm的时间的处理后,用FE-SEM观察样品的截面(图11)。结果是SiO2蚀刻深度:325.8nm、ΔWt=+0.6nmΔWb=+0.7nm。根据以上的结果,ΔWt改善35.9nm、ΔWb改善40.6nm。
(比较例5)
与实施例3同样地,将使用了CHF3的结果作为基准,评价添加了CH2F2的情况下与基准的结果相比ΔWt、ΔWb是否变小。
在CHF3:20.0%CH2F2:6.7%O2:6.7%Ar:66.7%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于KrF抗蚀剂/SiO2图案晶圆,进行SiO2被蚀刻约300nm的时间的处理后,用FE-SEM观察样品的截面(图12)。结果KrF掩模消失,无法进行图案蚀刻。
(比较例6)
与实施例3同样地,将使用了CHF3的结果作为基准,评价添加了CH2F2的情况下与基准的结果相比ΔWt、ΔWb是否变小。
在CHF3:24.1%CH2F2:3.4%O2:3.4%Ar:69.0%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于KrF抗蚀剂/SiO2图案晶圆,进行SiO2被蚀刻约300nm的时间的处理后,用FE-SEM观察样品的截面(图13)。结果图案被沉积膜覆盖,得到蚀刻完全未进行的结果。
(比较例7)
与实施例3同样地,将使用了CHF3的结果作为基准,评价添加了CF3CH3的情况下与基准的结果相比ΔWt、ΔWb是否变小。
在CHF3:20.0%CF3CH3:6.7%O2:6.7%Ar:66.7%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于KrF抗蚀剂/SiO2图案晶圆,进行SiO2被蚀刻约300nm的时间的处理后,用FE-SEM观察样品的截面(图14)。结果是SiO2蚀刻深度:267.2nm、ΔWt=+35.2nmΔWb=+40.7nm。根据以上的结果,ΔWt改善1.3nm、ΔWb改善0.6nm,但与实施例3相比,改善量小。
(比较例8)
与实施例3同样地,将使用了CHF3的结果作为基准,评价添加了CF3CH3的情况下与基准的结果相比ΔWt、ΔWb是否变小。
在CHF3:24.1%CF3CH3:3.4%O2:3.4%Ar:69.0%压力:10Pa RF功率:300W以上的条件下产生等离子体,对于KrF抗蚀剂/SiO2图案晶圆,进行SiO2被蚀刻约300nm的时间的处理后,用FE-SEM观察样品的截面(图15)。结果图案被沉积膜覆盖,得到蚀刻完全未进行的结果。
根据实施例3、比较例5~8的结果表明,通过使用通式(1)所示的具有硫醚骨架的气体化合物作为添加气体,从而可以改善SiO2图案的加工精度。
(实施例4)
在CH3SCF3:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,由截面观察结果算出沉积速率,实施基于SEM-EDX的组成分析。结果是沉积速率:33.2nm/分钟质量浓度[%](C,F,S)=(86.5,0.7,12.8)。
在CH3SCF3:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,进一步在Ar:100%压力:5Pa RF功率:100W的条件下进行处理。由对样品进行截面观察的结果算出沉积物的蚀刻速率。结果是沉积物的蚀刻速率:2.8nm/分钟。
(比较例9)
在CH2F2:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,由截面观察结果算出沉积速率,实施基于SEM-EDX的组成分析。结果是沉积速率:22.4nm/分钟质量浓度[%](C,F,S)=(95.8,4.2,0)。
在CH2F2:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,进一步在Ar:100%压力:5Pa RF功率:100W的条件下进行处理。由对样品进行截面观察的结果算出沉积物的蚀刻速率。结果是沉积物的蚀刻速率:1.8nm/分钟。
(比较例10)
在CH3F:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,由截面观察结果算出沉积速率,实施基于SEM-EDX的组成分析。结果是沉积速率:24.1nm/分钟质量浓度[%](C,F,S)=(98.4,1.6,0)。
在CH3F:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,进一步在Ar:100%压力:5Pa RF功率:100W的条件下进行处理。由对样品进行截面观察的结果算出沉积物的蚀刻速率。结果是沉积物的蚀刻速率:1.1nm/分钟。
(比较例11)
在CF3CH3:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,由截面观察结果算出沉积速率,实施基于SEM-EDX的组成分析。结果是沉积速率:24.1nm/分钟质量浓度[%](C,F,S)=(93.9,6.1,0)。
在CF3CH3:28.6%Ar:71.4%压力:5Pa RF功率:100W以上的条件下产生等离子体,对Si基板进行处理,对产生于Si基板上的沉积物,进一步在Ar:100%压力:5Pa RF功率:100W的条件下进行处理。由对样品进行截面观察的结果算出沉积物的物理蚀刻速率。结果是沉积物的蚀刻速率:1.0nm/分钟。
Si系的化合物与氟原子反应,根据式:
Si+4F→SiF4
生成挥发物(SiF4)。还发生了下式的反应:
SiO2+CFx(沉积膜)→SiF4+CO+CO2
C(有机系掩模)+CFx→CaFb(b的值越大、即F越多,挥发性越高)。
上述情况下,在反应体系中F多时,SiF4那样的挥发性高的物质更多地生成,因此,沉积膜中的F含量减少时,上述反应被抑制,反应性变低。
根据实施例4、比较例9~11的结果,由包含通式(1)所示的具有硫醚骨架的气体化合物的等离子体产生的沉积膜的沉积速率、沉积物的蚀刻速率与通常的HFC气体为同等程度,因此,可以应用与通常的HFC同样的使用方法,沉积膜中的F原子的含量变低,因此,可以期待沉积物与Si系膜的反应性变低。例如,使用了图案晶圆的比较实验中,经常使用在尖端的器件(如图1、2的)中多种膜露出的状态下对特定的膜进行加工的工艺。这种情况下,需要对成为加工对象的膜选择性地进行加工、对除此之外的膜尽量不进行蚀刻的高选择性的工艺。另外,成为加工对象的膜有时不是1种(如图2的情况)。对于本发明的蚀刻气体,针对这种期望可以通过调节蚀刻条件而应对,因此,有减少用于制作一个器件的气体种类的数量的优点。
产业上的可利用性
通过将包含通式(1)所示的具有硫醚骨架的气体化合物的混合气体、或将单独等离子体化并用于蚀刻,从而包含多种Si系材料的微细结构的加工中,相对于非蚀刻对象物,可以仅对蚀刻对象材料选择性地进行加工,另外,可以改善微细结构的垂直加工精度,因此,可以用于3D-NAND的制造工艺中的、SiO2/SiN的层叠结构的高长径比蚀刻、逻辑器件中的Fin-FET结构那样的三维结构的蚀刻。

Claims (10)

1.一种方法,其利用包含下述通式(1)所示化合物的气体等离子体对含有Si的膜进行蚀刻,
Rf1-S-Rf2 (1)
式(1)中,Rf1为CxHyFz所示的一价的有机基团,x、y、z分别为满足x=1~3、y=1~7、z=0~6、y+z≤2x+1的正数,Rf2为CaHbFc所示的一价的有机基团,a、b、c分别为满足a=1~3、b=0~6、c=1~7、b+c≤2a+1的正数。
2.根据权利要求1所述的方法,其中,所述含有Si的膜为由含有Si的1种材料构成的单层膜或为含有Si的2种以上的材料层叠而成的层叠膜。
3.根据权利要求1或2所述的方法,其中,所述气体等离子体在通式(1)所示化合物的基础上还包含选自由HF、HCl、HBr、HI、CF4、CHF3、CH2F2、CH3F、COF2、C2F6、C3F6、C3F8、C4F8、C4F6、C5F8、NF3和SF6组成的组中的至少1种。
4.根据权利要求1~3中任一项所述的方法,其中,所述含有Si的膜与未经蚀刻的材料一起构成结构体,
在蚀刻期间,使包含S且F含量为1%以下的膜沉积于所述结构体的表面。
5.根据权利要求1~4中任一项所述的方法,其中,所述含有Si的膜为由选自由SiO2、SiN、SiON、SiCN、SiOC和SiOCN组成的组中的至少1种材料构成的膜。
6.根据权利要求1~5中任一项所述的方法,其中,所述未经蚀刻的材料为选自由无定形碳层(ACL)、旋涂碳(SOC)、TiN、TaN和光致抗蚀剂组成的组中的至少1种。
7.根据权利要求1~6中任一项所述的方法,其中,通式(1)所示化合物为选自由CHF2-S-CF3、CH2F-S-CF3、CH3-S-CF3、CH3-S-CHF2、CH3-S-CH2F、CH2F-S-CH2F、CH2F-S-CHF2和CHF2-S-CHF2组成的组中的至少1种。
8.根据权利要求1~7中任一项所述的方法,其中,所述气体等离子体还包含选自由N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2和SO3组成的组中的至少1种。
9.根据权利要求1~8中任一项所述的方法,其中,所述气体等离子体还包含选自由H2、NH3和SiH4组成的组中的至少1种。
10.根据权利要求1~9中任一项所述的方法,其中,所述气体等离子体还包含选自由He、Ar、Ne、Kr和Xe组成的组中的至少1种。
CN201980041905.4A 2018-06-22 2019-06-21 使用了包含硫原子的气体分子的等离子体蚀刻方法 Active CN112313780B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018119232 2018-06-22
JP2018-119232 2018-06-22
PCT/JP2019/024633 WO2019245013A1 (ja) 2018-06-22 2019-06-21 硫黄原子を含むガス分子を用いたプラズマエッチング方法

Publications (2)

Publication Number Publication Date
CN112313780A true CN112313780A (zh) 2021-02-02
CN112313780B CN112313780B (zh) 2024-03-08

Family

ID=68983930

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980041905.4A Active CN112313780B (zh) 2018-06-22 2019-06-21 使用了包含硫原子的气体分子的等离子体蚀刻方法

Country Status (7)

Country Link
US (1) US11315797B2 (zh)
EP (1) EP3813097A4 (zh)
JP (1) JP7181931B2 (zh)
KR (1) KR20210023906A (zh)
CN (1) CN112313780B (zh)
TW (1) TWI804638B (zh)
WO (1) WO2019245013A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL294483A (en) * 2020-04-14 2022-09-01 Showa Denko Kk Etching method and method for manufacturing a semiconductor element

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法
US20170372916A1 (en) * 2016-06-23 2017-12-28 Tokyo Electron Limited Etching process method
CN107924837A (zh) * 2015-08-12 2018-04-17 中央硝子株式会社 干式蚀刻方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07211694A (ja) 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
JP6483577B2 (ja) 2015-09-09 2019-03-13 東芝メモリ株式会社 パターン形成方法
JP2017084966A (ja) 2015-10-28 2017-05-18 東京エレクトロン株式会社 遷移金属を含む膜をエッチングする方法及び基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法
CN107924837A (zh) * 2015-08-12 2018-04-17 中央硝子株式会社 干式蚀刻方法
US20170372916A1 (en) * 2016-06-23 2017-12-28 Tokyo Electron Limited Etching process method

Also Published As

Publication number Publication date
TWI804638B (zh) 2023-06-11
WO2019245013A1 (ja) 2019-12-26
US11315797B2 (en) 2022-04-26
KR20210023906A (ko) 2021-03-04
TW202006820A (zh) 2020-02-01
EP3813097A1 (en) 2021-04-28
US20210233774A1 (en) 2021-07-29
EP3813097A4 (en) 2022-03-09
JPWO2019245013A1 (ja) 2021-06-24
CN112313780B (zh) 2024-03-08
JP7181931B2 (ja) 2022-12-01

Similar Documents

Publication Publication Date Title
JP7227135B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
KR102398458B1 (ko) 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
CN107924842B (zh) 用于蚀刻半导体结构的含氮化合物
CN111261512B (zh) 使用蚀刻气体蚀刻半导体结构的方法
CN107275206B (zh) 用于高纵横比氧化物蚀刻的氟碳分子
TWI525658B (zh) 設計製造用於微影蝕刻遮罩應用的富硼薄膜之方法
JP6974468B2 (ja) 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法
KR100255405B1 (ko) 드라이에칭방법
CN108321079A (zh) 半导体结构及其形成方法
JP7241894B2 (ja) 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法
CN112313780B (zh) 使用了包含硫原子的气体分子的等离子体蚀刻方法
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
KR20240074888A (ko) 규소-함유 히드로플루오로카본을 사용한 에칭 방법
Zhu et al. High Aspect Ratio Carbon Hard Mask Etch Process for Profile and LCDU Control
WO2023069410A1 (en) Etching methods using silicon-containing hydrofluorocarbons
WO2024063871A1 (en) High aspect ratio contact (harc) etch
CN118103958A (zh) 使用含硅氢氟烃的蚀刻方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant