TWI804638B - 使用含硫原子之氣體分子之電漿蝕刻方法 - Google Patents

使用含硫原子之氣體分子之電漿蝕刻方法 Download PDF

Info

Publication number
TWI804638B
TWI804638B TW108121509A TW108121509A TWI804638B TW I804638 B TWI804638 B TW I804638B TW 108121509 A TW108121509 A TW 108121509A TW 108121509 A TW108121509 A TW 108121509A TW I804638 B TWI804638 B TW I804638B
Authority
TW
Taiwan
Prior art keywords
etching
plasma
gas
sin
etching method
Prior art date
Application number
TW108121509A
Other languages
English (en)
Other versions
TW202006820A (zh
Inventor
加藤惟人
Yoshinao Takahashi
下田光春
池谷慶彦
Original Assignee
日商關東電化工業股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商關東電化工業股份有限公司 filed Critical 日商關東電化工業股份有限公司
Publication of TW202006820A publication Critical patent/TW202006820A/zh
Application granted granted Critical
Publication of TWI804638B publication Critical patent/TWI804638B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明之課題係提供一種在半導體製造過程中,可以針對遮罩材料選擇性地加工由例如SiO2 或SiN的材料單體、或者SiO2 或SiN的複合材料所作成的膜,此外,在加工時可以得到良好的垂直加工形狀之電漿蝕刻方法。 本發明之解決手段係藉由將包含具有以一般式(1):
Figure 108121509-A0101-11-0001-1
(式中,Rf1 係以CxHyFz表示的一價有機基;Rf2 係以CaHbFc表示的一價有機基) 式(1)表示的硫醚(thioether)骨架的氣體化合物之混合氣體、或者單體予以電漿化,並蝕刻由例如SiO2 或SiN的材料單體、或者複合材料所作成的膜,藉由堆積與一般上使用氫氟碳化物(hydrofluorocarbon)氣體之場合相比氟原子的含有量較少、而且含硫原子的保護膜,而可以實現遮罩材料、與其他的非蝕刻對象材料之選擇性的提高,減低對側壁的損傷,抑制往橫方向的蝕刻等。

Description

使用含硫原子之氣體分子之電漿蝕刻方法
本發明係有關使用包含氟、氫、碳及硫原子的硫醚化合物之乾式蝕刻方法。
目前,半導體裝置為了高速化、省電力化而積極地嘗試微細化與新材料的利用等,在半導體裝置的微細加工係利用使用氟碳化合物(以下亦稱「FC」)氣體或氫氟碳化物(以下亦稱「HFC」)氣體電漿之乾式蝕刻來進行。
電漿化FC氣體或HFC氣體且蝕刻利用遮罩材料圖案化SiO2 或SiN的基板之場合,由於在例如SiO2 圖案,會引起FC或HFC所含的碳原子與SiO2 的氧反應、生成CO或CO2 之類的揮發性生成物,雖進行蝕刻,但碳成分殘留遮罩材料或不含O的膜上,會使蝕刻難以進行。因此,針對遮罩材料選擇性地蝕刻SiO2
此外,FC氣體或HFC氣體電漿化後所發生的CFx自由基會吸附在SiO2 表面,僅這一點,反應就不會進行。但是,在使用具有將Ar+ 等沒有化學反應性的離子或也具有CF3 + 等化學反應性的離子吸引到基板之類的機構之電漿蝕刻裝置之場合,離子被射入CFx自由基堆積的SiO2 表面,利用離子具有的動能,促進SiO2 表面與CFx自由基的反應,產生揮發性的生成物。此類的蝕刻一般上稱為離子輔助蝕刻。
於離子輔助蝕刻,藉由CFx離子衝撞自由基吸附的面而進行蝕刻反應,因而在針對SiO2 圖案的基板從垂直方向照射離子之類的場合,係在被照射的離子衝撞之垂直方向進行蝕刻。但是,圖案的水平方向(圖案的側壁)則難以引起離子的衝撞,因而留下於蝕刻未被使用的CFx自由基,且利用自由基彼此的反應而產生氟碳化合物聚合物。該氟碳化合物聚合物係具有效果,防止抑制反應高的自由基如氟自由基與SiO2 側壁之反應、或者從垂直方向以外侵入的離子衝撞側壁而蝕刻SiO2 側壁,此外,具有也在遮罩材料堆積,並提高遮罩材料與蝕刻對象的選擇比之效果。藉由利用此類的離子輔助蝕刻,邊保護側壁、遮罩邊進行蝕刻,可以實現向異性蝕刻,進行在圖案的垂直方向選擇性地蝕刻,且藉由應用此而可以將微細的圖案予以高深寬比地蝕刻。
在由離子輔助蝕刻進行之SiO2 的高深寬比圖案蝕刻,在C4 F8 、C4 F6 之類的電漿中使用例如CF或CF2 的氟原子數少且容易發生自由基的FC氣體。於SiN的圖案蝕刻使用例如CH2 F2 或CH3 F的含H的HFC氣體。
此外,高深寬比的圖案的加工形狀,重要的是維持蝕刻對象的材料的上層所存在的遮罩材料的加工形狀。在遮罩材料開口時所進行的電漿蝕刻、或使用該開口了的遮罩而蝕刻例如SiO2 或SiN的材料時,遮罩材料會因接觸到電漿中的例如氟自由基或氧自由基的活性高的自由基、或反應性高的離子而受到損傷。因該損傷,導致遮罩的形狀變形、其下層的蝕刻對象也受到影響、加工形狀歪斜。
於此類的抑制遮罩變形的目的下而在電漿蝕刻使用例如COS或SO2 的含硫原子的氣體。在非專利文獻1,記載著藉由在使用作為SiO2 蝕刻的遮罩材料之非晶系碳層(以下,簡稱ACL)遮罩進行開口時的電漿蝕刻添加COS,結果在開口後進行的SiO2 蝕刻,抑制了遮罩的蝕刻、且ACL遮罩與SiO2 之選擇比改善約20%。作為該利用COS添加的效果,係從以添加了COS的電漿進行處理的ACL遮罩檢測出硫原子,且記載是由於C=S而引起的鈍化效果。
在專利文獻1,記載了藉由在形成可灰化硬遮罩(AHM:由碳與氫及可任意選擇的微量摻雜物構成的可利用灰化而去除的硬遮罩)時添加H2 S或CS2 ,而形成低氫含有量之硫摻雜AHM,該AHM會降低蝕刻速率並且容易去除,從而,獲得用於有效的半導體處理之高選擇性的硬遮罩。
在本發明也以提高遮罩材料的選擇性或改善加工形狀為目的而使用含硫的蝕刻氣體,本發明所使用的蝕刻氣體係藉由使用非專利文獻1記載的具有COS或SO2 中不包含的F原子之硫醚化合物,而可以邊蝕刻SiO2 之類的蝕刻對象,邊進行ACL之類的遮罩材料的蝕刻抑制與圖案側壁的保護。
在專利文獻2,記載了使用CSF2 、S2 F2 作為包含硫原子的蝕刻氣體之蝕刻。在SiN上層積SiO2 之2層構造,在僅蝕刻SiO2 、且於SiN停止蝕刻之場合,由於藉由使用包含硫原子的該等的蝕刻氣體,引起硫與SiN所含的氮反應、生成不易被蝕刻的氮化硫,而提高針對SiN的SiO2 的蝕刻選擇性。在本發明,也以使用包含硫的蝕刻氣體作為特徵,但是藉由在本發明所使用的蝕刻氣體包含專利文獻1記載的CSF2 或S2 F2 所不包含氫原子,而可以蝕刻包含氮原子的矽系膜(SiN或SiON等)。
在專利文獻3記載,在圖案高深寬比構造的蝕刻,以改良矽含有層與遮罩材料之間之選擇性、改善蝕刻形狀作為目的,藉由使用C2 F4 S2 (2, 2, 4, 4-四氟-1, 3-二硫環丁烷)、C2 H3 CF3 S(2, 2, 2-三氟乙硫醇)等作為蝕刻氣體,在SiO2 、SiN之蝕刻,可以提高對非晶質矽(以下,簡稱a-Si)或非晶碳之選擇性。於本發明並非沸點高如先前發明中的2, 2, 4, 4-四氟-1, 3-二硫環丁烷或2, 2, 2-三氟乙硫醇之蝕刻氣體,藉由使用揮發性高、且沸點低的硫醚化合物,容易把氣體供給蝕刻裝置,此外,藉由調節蝕刻條件,不僅針對遮罩材料如a-Si或ACL,選擇性地蝕刻SiO2 、SiN,還可以針對SiO2 選擇性地蝕刻SiN。 [先前技術文獻] [專利文獻]
[專利文獻1]日本特開2015-70270號公報 [專利文獻2]日本特開平7-211694號公報 [專利文獻3]日本特表2016-529740號公報 [非專利文獻]
[非專利文獻1]Journal of Vacuum Science & Technology A:Vacuum, Surfaces, and Films 31, 021301 (2013)
[發明所欲解決之課題]
雖然DRAM或NAND快閃記憶體、邏輯裝置之類的半導體裝置謀求微細化以實現高電容化、低消耗電力化、高速動作,但近年微細化已達到一數量級nm水準,到目前為止,利用裝置構造達成高電容化、低消耗電力化、高速動作仍是困難的狀況。因此,於NAND快閃記憶體將裝置構造作成垂直堆疊起來的構造之稱為3D-NAND之立體的裝置構造是最尖端製造。此外,在邏輯裝置也為了抑制因微細化引起的閘極漏電流增加,而將通道構造作成立體的構造,且將其周圍由閘極絕緣膜包圍起來之類的Fin-FET的構造是最尖端的主流(圖1)。
藉由如此方式在先端裝置把裝置構造作成立體,也複雜化乾式蝕刻所要求的蝕刻特性。例如,在形成3D-NAND通道孔時的乾式蝕刻,複數層層積SiO2 與SiN的膜以非晶系碳層(ACL)之類的材料作成遮罩,則必須以高深寬比來蝕刻(圖2)。此時,必須針對遮罩選擇性地、一次蝕刻例如SiO2 與SiN之不同材質的膜,且伴隨裝置的高電容化,是須要以更高的深寬比的蝕刻之狀況。
另一方面,於邏輯裝置,特別是在尖端邏輯裝置,由於層積含Si或SiO2 、SiN、低介電係數(Low-k)材料(SiOC)、等各式各樣種類的矽之材料以構築裝置構造之關係,對使用於加工的電漿蝕刻而言,不僅遮罩材料,對於含矽的類似組成的其他膜也要求高度選擇性。
在對上述之類的3D-NAND的SiO2 與SiN多層重疊的層積構造而言的高深寬比蝕刻、或尖端邏輯裝置之製作Fin-FET構造時的蝕刻,不僅前述的遮罩材料等的選擇性,加工形狀的控制也是重要的課題。離子輔助蝕刻,係吸附CFx自由基、且於離子撞擊的部分容易進行蝕刻,因此被用在實際的裝置製造過程所使用的電漿蝕刻裝置中,藉由將電漿中的離子電性地吸引到矽基板,以進行垂直方向的加工之目的。但是,於實際的蝕刻,離子並不是僅僅從垂直方向照射,也有斜斜地射入、或在高深寬比的圖案內離子的軌道是彎曲的。結果,因形狀或膨脹、或變窄、或彎曲,導致並未成為期待的形狀,引起生產率的低落。 [供解決課題之手段]
本發明人等,為了解決上述問題點而銳意檢討之結果發現,藉由將包含具有特定硫醚骨架的氣體化合物之混合氣體、或者單體予以電漿化並使用於乾式蝕刻,可以實現對非蝕刻對象材料的選擇性提升、與對特定的含矽的材料的選擇性提升,進而蝕刻加工形狀的改善,完成本發明。
根據本發明,提供以下的態樣。 [1]一種蝕刻方法,其特徵係利用包含以下列一般式(1):
Figure 02_image001
(式中,Rf1 係以CxHyFz表示的一價有機基,x、y、z分別係滿足x=1~3、y=1~7、z=0~6、y+z≦2x+1之正數;Rf2 係以CaHbFc表示的一價有機基,a、b、c分別係滿足a=1~3、b=0~6、c=1~7、b+c≦2a+1之正數) 表示的化合物之氣體電漿(gas plasma),蝕刻含有矽(Si)的膜。 [2]如[1]記載之蝕刻方法,其中,前述含有矽的膜係由含有矽的1種材料所構成之單層膜或層積含有矽的2種以上材料之層積膜。 [3]如[1]或[2]記載之蝕刻方法,其中,前述氣體電漿除了以一般式(1)表示的化合物,還包含由HF、HCl、HBr、HI、CF4 、CHF3 、CH2 F2 、CH3 F、COF2 、C2 F6 、C3 F6 、C3 F8 、C4 F8 、C4 F6 、C5 F8 、NF3 及SF6 構成的群所選擇出的至少1種。 [4]如[1]~[3]任一項記載之蝕刻方法,其中,前述含有矽的膜係與不被蝕刻的材料一起構成構造體, 且在蝕刻期間,含S但F含有量為1%以下的膜係堆積在前述構造體的表面。 [5]如[1]~[4]任一項記載之蝕刻方法,其中,前述含有矽的膜係由SiO2 、SiN、SiON、SiCN、SiOC、及SiOCN構成的群所選擇出的至少1種材料所構成的膜。 [6]如[1]~[5]任一項記載之蝕刻方法,其中,前述不被蝕刻的材料係由非晶系碳層(ACL)、旋塗碳(SOC)、TiN、TaN、及光阻劑構成的群選擇出的至少1種。 [7]如[1]~[6]任一項記載之蝕刻方法,其中,以一般式(1)表示的化合物係由CHF2 -S-CF3 、CH2 F-S-CF3 、 CH3 -S-CF3 、CH3 -S-CHF2 、CH3 -S-CH2 F、CH2 F-S-CH2 F、CH2 F-S-CHF2 、及CHF2 -S-CHF2 構成的群所選擇出的至少1種。 [8]如[1]~[7]任一項記載之蝕刻方法,其中,前述氣體電漿係進而包含由N2 、O2 、O3 ,CO、CO2 、COS、N2 O、NO、NO2 、SO2 、及SO3 構成的群所選擇出的至少1種。 [9]如[1]~[8]任一項記載之蝕刻方法,其中,前述氣體電漿係進而包含由H2 、NH3 、及SiH4 構成的群所選擇出的至少1種。 [10]如[1]~[9]任一項記載之蝕刻方法,其中,前述氣體電漿係進而包含由He、Ar、Ne、Kr、及Xe構成的群所選擇出的至少1種。
此外,根據本發明,提供一種蝕刻方法,將以後述一般式(1):
Figure 02_image003
(式中,Rf1 係以CxHyFz表示的一價有機基,x、y、z分別係滿足x=1~3、y=1~7、z=0~6、y+z≦2x+1之正數;Rf2 係以CaHbFc表示的一價有機基,a、b、c分別係滿足a=1~3、b=0~6、c=1~7、b+c≦2a+1之正數) 表示的化合物使用於電漿蝕刻之方法。 [發明之效果]
根據本發明,藉由將包含具有以一般式(1)表示的特定硫醚骨架的氣體化合物之混合氣體、或者單體予以電漿化並使用於乾式蝕刻,以解除從前的問題點、課題點等,且具有後述的優點。 (1)具有本發明之特定硫醚骨架的氣體化合物,藉由在分子內具有氫原子、氟原子,而在電漿蝕刻時,與一般的HFC氣體同樣地,可以蝕刻SiO2 、SiN,進而利用硫原子的效果,產生對非蝕刻對象材料而言不易揮發的生成物、阻礙蝕刻,因而可以比一般的HFC氣體更高選擇性地針對非蝕刻對象物質蝕刻蝕刻對象材料。 (2)具有本發明之特定硫醚骨架的氣體化合物,在電漿蝕刻,與一般的HFC氣體同樣,會產生聚合物膜。該聚合物膜、與組成相近於本發明的氣體化合物之利用HFC氣體電漿產生的聚合物膜相比,係以大致同等的堆積速度堆積,但特徵在於較容易利用離子進行蝕刻。此外,當比較聚合物膜的成分時,比起利用HFC氣體產生的聚合物膜,氟原子的含有量較低。由此類之特徵,在圖案蝕刻,使用具有本發明之特定硫醚骨架的氣體化合物時,垂直方向的蝕刻因為離子碰撞而與一般的HFC氣體同樣地,蝕刻沒有問題地進行,但對於側壁方向,則藉由堆積反應性高的氟原子的含有量少之聚合物膜,可以抑制蝕刻反應。結果,是可以進行垂直加工形狀良好的電漿蝕刻。 (3)具有本發明之特定硫醚骨架的氣體化合物,係藉由對氣體電漿,包含一般上使用的蝕刻氣體(HF、HCl、HBr、HI、CF4 、CHF3 、CH2 F2 、CH3 F、COF2 、C2 F6 、C3 F6 、C3 F8 、C4 F8 、C4 F6 、C5 F8 、NF3 、SF6 等)加以添加,與不添加之場合之氣體電漿相比,可以相對於非蝕刻對象材料提升蝕刻對象材料的選擇比。 (4)具有本發明之特定硫醚骨架的氣體化合物,係藉由對氣體電漿,包含一般上使用的蝕刻氣體(HF、HCl、HBr、HI、CF4 、CHF3 、CH2 F2 、CH3 F、COF2 、C2 F6 、C3 F6 、C3 F8 、C4 F8 、C4 F6 、C5 F8 、NF3 、SF6 等)加以添加,與不添加之場合之氣體電漿相比,可以進行垂直加工形狀良好的電漿蝕刻。
以下,詳細說明本發明之電漿蝕刻方法。本發明之範圍並不以後述所說明的範圍為限定,在不逸脫本發明的主旨的範圍可以施加種種的變更。
本發明之電漿蝕刻方法係使用包含具有以後述一般式(1)表示的硫醚骨架的氣體化合物之混合氣體、或者氣體單體。
Figure 02_image005
(式中,Rf1 係以CxHyFz表示的一價有機基,x、y、z分別係滿足x=1~3、y=1~7、z=0~6、y+z≦2x+1之正數;Rf2 係以CaHbFc表示的一價有機基,a、b、c分別係滿足a=1~3、b=0~6、c=1~7、b+c≦2a+1之正數)
於本發明之電漿蝕刻方法,作為具有一般式(1)表示的硫醚骨架的氣體化合物,從易於供給電漿蝕刻裝置之觀點而言,最好是使用滿足x=1~2、y=1~3、z=0~3、a=1~2、b=0~3、c=0~3之化合物。此外,更好是使用CHF2 -S-CF3 、CH2 F-S-CF3 、CH3 -S-CF3 、CH3 -S-CHF2 、CH3 -S-CH2 F、CH2 F-S-CH2 F、CH2 F-S-CHF2 、CHF2 -S-CHF2 中的任一構造的氣體化合物。
本發明之電漿蝕刻方法,可以使用具有一般式(1)表示的硫醚骨架的氣體化合物為95.0vol%~100.0vol%者。使用99vol%以上者為佳,使用99.9vol%以上者更佳。作為所含的不純物成分可列舉N2 、O2 、CO2 、H2 O、HF、HCl、SO2 、CH4 等,而這些不純物成分之中,由於H2 O、HF、HCl、SO2 等腐蝕氣體流通路徑的可能性高,所以最好是利用精製盡可能予以去除。
於本發明之電漿蝕刻方法,藉由將一般式(1)表示的氣體化合物與其他的FC氣體或HFC氣體混合後使用,相較於不混合一般式(1)表示的氣體化合物之場合,前者可以更為提高蝕刻對象材料相對於非蝕刻對象材料的選擇比。此外,在蝕刻利用非蝕刻對象材料圖案化的構造之場合,也提升垂直加工精確度。
在如上述的利用非蝕刻對象材料圖案化的構造,蝕刻對象材料為SiO2 等含氧的Si系材料之場合,將一般式(1)表示的氣體化合物、與CF4 、CHF3 、C2 F6 、C3 F8 、C4 F8 、C4 F6 、C5 F8 等蝕刻氣體混合後用於電漿蝕刻,對於選擇性的蝕刻、垂直加工精確度佳的蝕刻是最好的。特別是,在選擇性要求高之類的場合,最好是混合碳數較多的C4 F8 、C4 F6 、C5 F8
在利用非蝕刻對象材料圖案化的構造,蝕刻對象材料為SiN等含氮的Si系材料之場合,將一般式(1)表示的氣體化合物、與CHF3 、CH2 F2 、CH3 F等HFC氣體混合後用於電漿蝕刻,對於選擇性的蝕刻、垂直加工精確度佳的蝕刻是最好的。特別是,在選擇性要求高之類的場合,使用碳數2以上的HFC氣體也是有效的。
於本發明之電漿蝕刻方法,藉由對包含一般式(1)表示的氣體化合物之氣體電漿,添加N2 、O2 、O3 ,CO、CO2 、COS、N2 O、NO、NO2 、SO2 、SO3 之任1個、或者其中的複數個,可以獲得抑制過剩的堆積(堆積物)、提高蝕刻對象物的蝕刻速率、相對於非蝕刻對象材料提高蝕刻對象物的選擇性之效果。
對於抑制過剩的堆積、提高蝕刻對象物的蝕刻速率之目的,添加O2 、O3 、CO2 ,NO、N2 O、NO、NO2 、SO2 、SO3 之中的任1個、或者其中的複數個特佳。其中,使用O2 、O3 、NO2 、SO2 、SO3 特別佳。
針對提高蝕刻對象物的蝕刻速率、相對於非蝕刻對象材料提高蝕刻對象物的選擇性之目的,使用N2 、CO、COS、N2 O為佳,使用其中的N2 、COS特佳。
於本發明之電漿蝕刻方法,藉由對包含一般式(1)表示的氣體化合物之氣體電漿,添加H2 、NH3 、SiH4 之任1個、或者複數個,可以獲得抑制過剩的F自由基發生、提高蝕刻對象材料與非蝕刻對象材料的選擇性、提高含Si與N的膜的蝕刻速率之效果。針對以這些效果為目地,H2 是特別佳。
於本發明之電漿蝕刻方法,對於包含一般式(1)表示的氣體化合物之氣體電漿,可以使用He、Ar、Ne、Kr、Xe之任一稀有氣體作為稀釋氣體。其中以使用He、Ar、Xe為佳。
作為在本發明的方法所使用的蝕刻氣體例,可列舉後述氣體。 (a)單獨由一般式(1)表示的氣體化合物 主要優點在於生成含硫原子的堆積膜,且可以高度選擇性地針對遮罩材料蝕刻矽系膜。 (b)一般式(1)表示的氣體化合物(5~80體積%)及稀有氣體(20~95體積%) 主要優點在於相較於單獨使用之場合,會抑制過剩的堆積,且抑制蝕刻停止。 (c)一般式(1)表示的氣體化合物(5~60體積%)、N2 、O2 、O3 ,CO、CO2 、COS、N2 O、NO、NO2 、SO2 、SO3 的任一個或其中的複數個(1~50體積%)及稀有氣體(0~94體積%) 主要優點在於相較於單獨使用之場合,會抑制過剩的堆積,且可以調節遮罩材料、非蝕刻對象的Si系膜與蝕刻對象的Si系膜之選擇性。 (d)一般式(1)表示的氣體化合物(1~50體積%)、CF4 、CHF3 、C2 F6 、C3 F8 、C4 F8 、C4 F6 、C5 F8 的任一個或其中的複數個(1~50體積%)、N2 、O2 、O3 ,CO、CO2 、COS、N2 O、NO、NO2 、SO2 、SO3 的任一個或其中的複數個(1~50體積%)及稀有氣體(0~97體積%) 主要優點在於相較於單獨使用之場合,會提高含O的Si系膜的蝕刻速率、且可提高對遮罩材料的選擇性。 (e)一般式(1)表示的氣體化合物(1~50體積%)、CHF3 、CH2 F2 、CH3 F的任一個或其中的複數個(1~50體積%)、N2 、O2 、O3 ,CO、CO2 、COS、N2 O、NO、NO2 、SO2 、SO3 的任一個或其中的複數個(0~50體積%)、H2 、NH3 、SiH4 的任1個或複數個(0~50體積%)及稀有氣體(0~98體積%) 主要優點在於相較於單獨使用之場合,會抑制過剩的F自由基發生、提高含N的Si系膜的蝕刻速率、且可提高對遮罩材料的選擇性。
用於本發明之電漿蝕刻之電漿蝕刻裝置,作為電漿發生方式,CCP(電容耦合型)、ICP(感應耦合型)、ECR(電子迴旋共振)等,可以使用各種電漿蝕刻裝置而不管電漿源的種類,只要是可發生安定電漿之裝置即可。此外,本發明之電漿蝕刻方法因藉由將電漿中所發生的離子照射到基板,可以提高本發明之效果,而最好是具備利用電性的作用將離子吸引到基板之機構。再者,利用電漿蝕刻裝置,有可以個別地設定發生電漿的能量(源功率,source power)、與把電漿中的離子照射到基板的能量(偏壓功率,bias power)之裝置,在更精密地控制加工形狀之場合最好是使用此類的裝置。
本發明之電漿蝕刻方法,由於是進行微細的Si系材料的有圖晶圓的垂直加工,所以用於電漿蝕刻的電漿蝕刻裝置必須是適於離子輔助蝕刻之、具備可以再現低氣體壓力條件的真空容器之裝置。在低壓力條件下,電漿中的粒子的直進性提升,照射到基板的離子也不受其他粒子阻礙地到達基板,因而增加對基板垂直射入的離子,有利於垂直加工。在本發明之電漿蝕刻方法,蝕刻時真空容器內的壓力最好是調節在100Torr~0.1mTorr,調節在100mTorr~0.1mTorr更好。
在本發明之電漿蝕刻方法,最好是將一般式(1)表示的氣體化合物當作氣體並導入電漿蝕刻裝置的真空容器。因此,在用於本發明之電漿蝕刻方法之電漿蝕刻裝置,最好是具備供將一般式(1)表示的氣體化合物當作氣體導入、進而調節其導入量用之機構。此外,針對該機構,由於本發明之電漿蝕刻方法,除了一般式(1)表示的氣體化合物以外,因應目地之不同使用複數個其他的氣體化合物、例如O2 、Ar、CF4 等也是有效的,所以氣體導入、調節導入量之機構也最好是具備4個以上。
在本發明之電漿蝕刻方法,最好是將一般式(1)表示的氣體化合物、及使用於電漿蝕刻的其他氣體化合物,分別調節流量於1~3000sccm的範圍內,並導入電漿蝕刻裝置的真空容器。再者,調節於1~1000sccm的範圍內特別佳。 [實施例]
以下,利用實施例、比較例進而詳細說明本發明,但本發明並不以這些為限。 後述之例係使用圖3記載的CCP方式的電漿蝕刻裝置進行的。在裝置包含供在低壓力下產生電漿用之真空容器,與供控制所處理的樣本的溫度用之溫度控制機構,作為供將一般式(1)表示的氣體化合物、及使用於電漿蝕刻的其他氣體化合物導入、進而調節其導入量用之機構,而設置4個系統具備質量流量控制器的氣體配管。此外,具備供將真空容器內的壓力減壓到既定的低壓力為止用之渦輪式分子泵、無油乾式真空泵等2個真空泵,在真空容器與真空泵之間,具備供調節真空容器內的壓力用之壓力調節閥。圖3的裝置係在真空容器內的上下一對電極間施加RF功率且產生電漿之CCP方式電漿蝕刻裝置,再者也可以利用ICP方式電漿蝕刻裝置或ECR方式電漿蝕刻裝置等。
本實施例使用之蝕刻對象樣本係使用後述之物。 使用無圖形晶圓(blanket wafer,未圖案化的晶圓)之蝕刻速率之測定 SiN:利用熱CVD而在矽基板上堆積氮化矽(SiN)300nm。 SiO2 :利用電漿CVD而在矽基板上堆積氧化矽(SiO2 )1000nm。 上述的無圖形晶圓係使用光波干涉式膜厚計加以測定,由其差算出蝕刻速率(數式1)。[表1]之選擇比係由SiN、SiO2 的蝕刻量與各遮罩材料的蝕刻量算出(數式2)。 [數式1]
Figure 02_image007
[數式2]
Figure 02_image009
使用有圖晶圓的電漿蝕刻形狀之評價 SOC/SiN有圖晶圓:如圖4所示,在矽基板上堆積氮化矽(SiN)300nm,在其上層積100nm的SOC(根據ACL的碳主體材料),在其上層積20nm的SOG(根據SiO2 的材料)。遮罩的開口幅作成150nm。 KrF抗蝕劑/SiO2 有圖晶圓:如圖5所示,在矽基板上堆積氧化矽(SiO2 )1000nm,在其上層積90nm的反射防止膜(BARC:根據SiON的材料),再在其上層積380nm的KrF抗蝕劑(由C、H等構成的樹脂材料)。遮罩的開口幅作成270nm。 上述有圖晶圓係使用掃描型電子顯微鏡:FE-SEM,分別觀察未進行電漿蝕刻處理的樣本、與進行電漿蝕刻處理的樣本之剖面。藉由測量獲得的觀察像的長度,評價因電漿蝕刻而從未處理的樣本之變化量。 又,在本說明書,除非另有說明,氣體組成比的單位係體積%。 此外,膜厚變化量表示由於蝕刻造成的膜厚減量為正值、由於堆積造成的膜厚增加為負值。
[實施例1] 於CH3 SCF3 :21.4% O2 :7.1% Ar:71.4%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將SOC/SiN有圖晶圓樣本、處理SiN層被蝕刻約50nm的時間後,用FE-SEM觀察樣本的剖面(圖6)。蝕刻時間,係在與蝕刻有圖晶圓的條件相同條件下蝕刻SiN無圖形晶圓,且由依照[數式1]記載的方法算出的SiN無圖形晶圓的蝕刻速率,求出SiN被蝕刻50nm的時間。蝕刻後,有圖晶圓的SOG層、SOC層、SiN層的膜厚變化量為SOG:11.3nm SOC:±0nm SiN:56.0nm,且可以針對SOC膜選擇性地蝕刻SOG、SiN膜。
[實施例2] 於CH3 SCF3 :23.5% O2 :17.6% Ar:58.8%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將SOC/SiN有圖晶圓樣本、處理SiN層被蝕刻約50nm的時間後,用FE-SEM觀察樣本的剖面(圖7)。蝕刻後,有圖晶圓的SOG層、SOC層、SiN層的膜厚變化量為SOG:20.0nm SOC:±0nm SiN:42.0nm,且可以針對SOC膜選擇性地蝕刻SOG、SiN膜。
[比較例1] 於CH2 F2 :28.6% O2 :0% Ar:71.4%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將SOC/SiN有圖晶圓樣本、處理SiN層被蝕刻約50nm的時間後,用FE-SEM觀察樣本的剖面,結果,圖案被堆積膜覆蓋,為蝕刻完全沒有進展的結果。
[比較例2] 於CH2 F2 :26.7% O2 :6.7% Ar:66.7%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將SOC/SiN有圖晶圓樣本、處理SiN層被蝕刻約50nm的時間後,用FE-SEM觀察樣本的剖面(圖8)。蝕刻後,有圖晶圓的SOG層、SOC層、SiN層的膜厚變化量為SOG:20.0nm SOC:2.0nm SiN:43.0nm,無法實現如實施例1、實施例2般SiN的選擇性蝕刻、或對SOC選擇性地蝕刻SOG、SiN。
[比較例3] 於1,1,1-三氟乙烷(CF3 CH3 ):26.7% O2 :6.7% Ar:66.7%壓力:10Pa RF功率:300W上述的條件下發生電漿,在蝕刻處理SOC/SiN有圖晶圓樣本、且處理SiN層被蝕刻約50nm的時間後,用FE-SEM觀察樣本的剖面,結果,圖案被堆積膜覆蓋,為蝕刻完全沒有進展的結果。
[比較例4] 於1,1,1-三氟乙烷(CF3 CH3 ):25.0% O2 :12.5% Ar:62.5%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將SOC/SiN有圖晶圓樣本、處理SiN層被蝕刻約50nm的時間後,用FE-SEM觀察樣本的剖面(圖9)。蝕刻後,有圖晶圓的SOG層、SOC層、SiN層的膜厚變化量為SOG:20.0nm SOC:8.9nm SiN:43.0nm,無法實現如實施例1般僅SiN的選擇性蝕刻。
上述的結果整理於表1。
Figure 02_image011
由實施例1、2、比較例1至4顯示,藉由使用一般式(1)表示的具硫醚骨架的氣體化合物,在層積SOG、SOC的SiN圖案,可以針對SOG、SOC選擇性地蝕刻SiN,此外,藉由調整條件,可以針對SOC選擇性地蝕刻SOG、SiN。
[實施例3] 於CHF3 :28.6% O2 :0% Ar:71.4%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將KrF抗蝕劑/SiO2 有圖晶圓、處理SiO2 被蝕刻約300nm的時間後,用FE-SEM觀察樣本的剖面(圖10)。蝕刻時間,係在與蝕刻有圖晶圓的條件相同條件下蝕刻SiO2 無圖形晶圓,且由依照[數式1]記載的方法算出的SiO2 無圖形晶圓的蝕刻速率,求出SiO2 被蝕刻300nm的時間。評價從蝕刻前的遮罩開口幅270nm、蝕刻後的SiO2 開口幅變化了多少程度(被蝕刻的SiO2 的開口部與底部)(數式3)。 [數式3]
Figure 02_image013
以上述的結果作為基準,評價在添加CH3 SCF3 的場合下ΔWt、ΔWb與基準的結果相比是否變小。 於CHF3 :23.3% CH3 SCF3 :3.3% O2 :6.7% Ar:66.7%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將KrF抗蝕劑/SiO2 有圖晶圓、處理SiO2 被蝕刻約300nm的時間後,用FE-SEM觀察樣本的剖面(圖11)。結果,SiO2 蝕刻深度:325.8nm、ΔWt=+0.6nm ΔWb=+0.7nm。由上述的結果,ΔWt改善了35.9nm、ΔWb改善了40.6nm。
[比較例5] 以與實施例3同樣地使用CHF3 的結果作為基準,評價在添加CH2 F2 的場合下ΔWt、ΔWb與基準的結果相比是否變小。 於CHF3 :20.0% CH2 F2 :6.7% O2 :6.7% Ar:66.7%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將KrF抗蝕劑/SiO2 有圖晶圓、處理SiO2 被蝕刻約300nm的時間後,用FE-SEM觀察樣本的剖面(圖12)。結果,KrF遮罩消失,圖案蝕刻無法進行。
[比較例6] 以與實施例3同樣地使用CHF3 的結果作為基準,評價在添加CH2 F2 的場合下ΔWt、ΔWb與基準的結果相比是否變小。 於CHF3 :24.1% CH2 F2 :3.4% O2 :3.4% Ar:69.0%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將KrF抗蝕劑/SiO2 有圖晶圓、處理SiO2 被蝕刻約300nm的時間後,用FE-SEM觀察樣本的剖面(圖13)。結果,圖案被堆積膜覆蓋,成為蝕刻完全沒有進展的結果。
[比較例7] 以與實施例3同樣地使用CHF3 的結果作為基準,評價在添加CF3 CH3 的場合下ΔWt、ΔWb與基準的結果相比是否變小。 於CHF3 :20.0% CF3 CH3 :6.7% O2 :6.7% Ar:66.7%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將KrF抗蝕劑/SiO2 有圖晶圓、處理SiO2 被蝕刻約300nm的時間後,用FE-SEM觀察樣本的剖面(圖14)。結果,SiO2 蝕刻深度:267.2nm、ΔWt=+35.2nm ΔWb=+40.7nm。由上述的結果,ΔWt改善了1.3nm、ΔWb改善了0.6nm,但與實施例3相比,改善量較小。
[比較例8] 以與實施例3同樣地使用CHF3 的結果作為基準,評價在添加CF3 CH3 的場合下ΔWt、ΔWb與基準的結果相比是否變小。 於CHF3 :24.1% CF3 CH3 :3.4% O2 :3.4% Ar:69.0%壓力:10Pa RF功率:300W上述的條件下發生電漿,在將KrF抗蝕劑/SiO2 有圖晶圓、處理SiO2 被蝕刻約300nm的時間後,用FE-SEM觀察樣本的剖面(圖15)。結果,圖案被堆積膜覆蓋,成為蝕刻完全沒有進展的結果。
由實施例3、比較例5至8的結果顯示,藉由使用一般式(1)表示的具硫醚骨架的氣體化合物作為添加氣體,可以改善SiO2 圖案的加工精確度。
[實施例4] 於CH3 SCF3 :28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,由剖面觀察結果算出堆積速率,實施利用SEM-EDX的組成分析。結果,堆積速率:33.2nm/min 質量濃度[%](C,F,S)=(86.5,0.7,12.8)。 於CH3 SCF3 :28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,進而,於Ar:100%壓力:5Pa RF功率:100W之條件下進行處理。由樣本剖面觀察結果算出堆積物的蝕刻速率。結果,堆積物的蝕刻速率:2.8nm/min。
[比較例9] 於CH2 F2 :28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,由剖面觀察結果算出堆積速率,實施利用SEM-EDX的組成分析。結果,堆積速率:22.4nm/min 質量濃度[%](C,F,S)=(95.8,4.2,0)。 於CH2 F2 :28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,進而,於Ar:100%壓力:5Pa RF功率:100W之條件下進行處理。由樣本剖面觀察結果算出堆積物的蝕刻速率。結果,堆積物的蝕刻速率:1.8nm/min。
[比較例10] 於CH3 F:28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,由剖面觀察結果算出堆積速率,實施利用SEM-EDX的組成分析。結果,堆積速率:24.1nm/min 質量濃度[%](C,F,S)=(98.4,1.6,0)。 於CH3 F:28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,進而,於Ar:100%壓力:5Pa RF功率:100W之條件下進行處理。由樣本剖面觀察結果算出堆積物的蝕刻速率。結果,堆積物的蝕刻速率:1.1nm/min。
[比較例11] 於CF3 CH3 :28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,由剖面觀察結果算出堆積速率,實施利用SEM-EDX的組成分析。結果,堆積速率:24.1nm/min 質量濃度[%](C,F,S)=(93.9,6.1,0)。 於CF3 CH3 :28.6% Ar:71.4%壓力:5Pa RF功率:100W上述的條件下發生電漿,處理矽基板,針對矽基板上產生的堆積物,進而,於Ar:100%壓力:5Pa RF功率:100W之條件下進行處理。由樣本剖面觀察結果算出堆積物的物理的蝕刻速率。結果,堆積物的蝕刻速率:1.0nm/min。
矽系化合物係與氟原子反應,依照式:
Figure 02_image015
生成揮發物(SiF4 )。也引起例如式: SiO2 +CFx(沉積膜;deposited film)→SiF4 +CO+CO2 、 C(有機系遮罩)+CFx→CaFb(b值大,亦即,F愈多則揮發性愈高) 之反應。該場合,由於在反應系中F更多時會生成更多如SiF4 之類的揮發性高的物質,所以當沉積膜中的F含有量減少時,會抑制上述的反應,反應性變低。
由實施例4、比較例9至11之結果,由包含一般式(1)表示的具硫醚骨架的氣體化合物之電將所產生的堆積膜,由於堆積速率、堆積物的蝕刻速率係與一般的HFC氣體相同程度,而可以適應與一般的HFC同樣的使用方法,由於在堆積膜的F原子含有量變低,而可以期待堆積物與矽系膜之反應性變低。例如,於使用有圖晶圓的比較實驗,於先端的裝置(如圖1、2之類的)經常採用在複數種類的膜露出來的狀態下加工特定的膜之製程。此類之場合,需要高度選擇性的製程,選擇性地加工成為加工對象的膜,且盡可能地不蝕刻除此以外的膜。此外,有成為加工對象的膜並不是1種類之場合(圖2之類的場合)。本發明之蝕刻氣體,針對此類的需求,優點在於因為可以藉由調節蝕刻條件來對應,所以可減少為了製作一裝置而使用的氣體種類數目。 [產業上利用可能性]
藉由將包含一般式(1)表示的具硫醚骨架的氣體化合物之混合氣體或者單體予以電漿化、用於蝕刻,在包含複數種類的矽系材料之微細構造加工上,可以針對非蝕刻對象物,選擇性地僅加工蝕刻對象材料,此外,可以提升微細構造的垂直加工精確度,因而可以利用在3D-NAND的製造過程之、SiO2 /SiN的層積構造的高深寬比蝕刻、或邏輯裝置之Fin-FET構造之類的立體構造的蝕刻。
圖1係圖示一般的鰭式場效應電晶體(Fin-FET)之構造。 圖2係圖示一般的3D-NAND通道孔蝕刻(Channel Hole Etching)。 圖3係於本發明的實施例所使用之電漿蝕刻裝置之概略圖。 圖4係於本發明的實施例所使用之SOC/SiN有圖晶圓(pattern wafer)之概略圖。 圖5係於本發明的實施例所使用之KrF抗蝕劑/SiO2 有圖晶圓之概略圖。 圖6係實施例1之電漿處理後的SOC/SiN有圖晶圓剖面之SEM影像。 圖7係實施例2之電漿處理後的SOC/SiN有圖晶圓剖面之SEM影像。 圖8係比較例2之電漿處理後的SOC/SiN有圖晶圓剖面之SEM影像。 圖9係比較例4之電漿處理後的SOC/SiN有圖晶圓剖面之SEM影像。 圖10係在實施例3、比較例5至8,成為評價基準的KrF抗蝕劑/SiO2 有圖晶圓剖面之SEM影像。 圖11係實施例3之電漿處理後的KrF抗蝕劑/SiO2 有圖晶圓剖面之SEM影像。 圖12係比較例5之電漿處理後的KrF抗蝕劑/SiO2 有圖晶圓剖面之SEM影像。 圖13係比較例6之電漿處理後的KrF抗蝕劑/SiO2 有圖晶圓剖面SEM影像。 圖14係比較例7之電漿處理後的KrF抗蝕劑/SiO2 有圖晶圓剖面之SEM影像。 圖15係比較例8之電漿處理後的KrF抗蝕劑/SiO2 有圖晶圓剖面之SEM影像。

Claims (9)

  1. 一種蝕刻方法,其特徵係利用包含CH3-S-CF3之氣體電漿(gas plasma),蝕刻含有矽(Si)的膜。
  2. 如申請專利範圍第1項記載之蝕刻方法,其中前述含有矽的膜係由含有矽的1種材料所構成之單層膜或層積含有矽的2種以上材料之層積膜。
  3. 如申請專利範圍第1項記載之蝕刻方法,其中前述氣體電漿除了CH3-S-CF3,還包含由HF、HCl、HBr、HI、CF4、CHF3、CH2F2、CH3F、COF2、C2F6、C3F6、C3F8、C4F8、C4F6、C5F8、NF3及SF6構成的群所選擇出的至少1種。
  4. 如申請專利範圍第1項記載之蝕刻方法,其中前述含有矽的膜係與不被蝕刻的材料一起構成構造體,且在蝕刻期間,含S但F含有量為1%以下的膜係堆積在前述構造體的表面。
  5. 如申請專利範圍第1項記載之蝕刻方法,其中前述含有矽的膜係由SiO2、SiN、SiON、SiCN、SiOC、及SiOCN構成的群所選擇出的至少1種材料所構成的膜。
  6. 如申請專利範圍第4項記載之蝕刻方法,其中前述不被蝕刻的材料係由非晶系碳層(ACL)、旋塗碳(SOC)、TiN、TaN、及光阻劑構成的群選擇出的至少1種。
  7. 如申請專利範圍第1至6項任一項記載之蝕刻方法,其中前述氣體電漿係進而包含由N2、O2、O3、CO、CO2、COS、N2O、NO、NO2、SO2、及SO3構成的群所選擇出的至少1種。
  8. 如申請專利範圍第1至6項任一項記載之蝕刻方法,其中前述氣體電漿係進而包含由H2、NH3、及SiH4構成的群所選擇出的至少1種。
  9. 如申請專利範圍第1至6項任一項記載之蝕刻方法,其中前述氣體電漿係進而包含由He、Ar、Ne、Kr、及Xe構成的群所選擇出的至少1種。
TW108121509A 2018-06-22 2019-06-20 使用含硫原子之氣體分子之電漿蝕刻方法 TWI804638B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-119232 2018-06-22
JP2018119232 2018-06-22

Publications (2)

Publication Number Publication Date
TW202006820A TW202006820A (zh) 2020-02-01
TWI804638B true TWI804638B (zh) 2023-06-11

Family

ID=68983930

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108121509A TWI804638B (zh) 2018-06-22 2019-06-20 使用含硫原子之氣體分子之電漿蝕刻方法

Country Status (7)

Country Link
US (1) US11315797B2 (zh)
EP (1) EP3813097A4 (zh)
JP (1) JP7181931B2 (zh)
KR (1) KR20210023906A (zh)
CN (1) CN112313780B (zh)
TW (1) TWI804638B (zh)
WO (1) WO2019245013A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220083781A (ko) * 2020-04-14 2022-06-20 쇼와 덴코 가부시키가이샤 에칭 방법 및 반도체 소자의 제조 방법
US20230411164A1 (en) * 2022-06-15 2023-12-21 Nanya Technology Corporation Method of plasma etching

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
US20160307764A1 (en) * 2013-09-09 2016-10-20 American Air Liquide, Inc. Method of etching semiconductor structures with etch gas

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07211694A (ja) 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
JP6483577B2 (ja) * 2015-09-09 2019-03-13 東芝メモリ株式会社 パターン形成方法
JP2017084966A (ja) * 2015-10-28 2017-05-18 東京エレクトロン株式会社 遷移金属を含む膜をエッチングする方法及び基板処理装置
JP6604911B2 (ja) * 2016-06-23 2019-11-13 東京エレクトロン株式会社 エッチング処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
US20160307764A1 (en) * 2013-09-09 2016-10-20 American Air Liquide, Inc. Method of etching semiconductor structures with etch gas

Also Published As

Publication number Publication date
KR20210023906A (ko) 2021-03-04
EP3813097A4 (en) 2022-03-09
CN112313780B (zh) 2024-03-08
EP3813097A1 (en) 2021-04-28
TW202006820A (zh) 2020-02-01
JP7181931B2 (ja) 2022-12-01
US20210233774A1 (en) 2021-07-29
US11315797B2 (en) 2022-04-26
CN112313780A (zh) 2021-02-02
WO2019245013A1 (ja) 2019-12-26
JPWO2019245013A1 (ja) 2021-06-24

Similar Documents

Publication Publication Date Title
KR102398458B1 (ko) 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
US11075084B2 (en) Chemistries for etching multi-stacked layers
CN111261512B (zh) 使用蚀刻气体蚀刻半导体结构的方法
CN115394641A (zh) 用于蚀刻半导体结构的含氮化合物
KR102546860B1 (ko) 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
TWI797841B (zh) 在選擇性地蝕刻氮化矽間隔物期間改進輪廓控制之方法
TWI804638B (zh) 使用含硫原子之氣體分子之電漿蝕刻方法
US10090168B2 (en) Plasma etching method
KR102244862B1 (ko) 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
Shieh et al. Etching characteristics of organic low-k dielectrics in the helicon-wave plasma etcher for 0.15-um damascene architecture