JP7241894B2 - 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法 - Google Patents

窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法 Download PDF

Info

Publication number
JP7241894B2
JP7241894B2 JP2021544933A JP2021544933A JP7241894B2 JP 7241894 B2 JP7241894 B2 JP 7241894B2 JP 2021544933 A JP2021544933 A JP 2021544933A JP 2021544933 A JP2021544933 A JP 2021544933A JP 7241894 B2 JP7241894 B2 JP 7241894B2
Authority
JP
Japan
Prior art keywords
sin
reaction chamber
layer
plasma
etching method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021544933A
Other languages
English (en)
Other versions
JP2022524928A (ja
Inventor
クオ、シャンユウ
ロイヤー、ジェームズ
アール. ペレム、ヴェンカタスワラ
スタフォード、ネイサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2022524928A publication Critical patent/JP2022524928A/ja
Priority to JP2023034832A priority Critical patent/JP2023065655A/ja
Application granted granted Critical
Publication of JP7241894B2 publication Critical patent/JP7241894B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

関連出願の相互参照
本出願は、2019年2月1日に出願された米国特許出願第16/265,782号の利益を主張し、あらゆる目的のためにその全体が参照により本明細書に組み込まれる。
半導体用途におけるスペーサーパターニングのためのサイクル原子層エッチング(ALE)方法が開示される。特に、ハイドロフルオロカーボン(HFC)ガスを使用して垂直方向に真っ直ぐな窒化ケイ素(SiN)スペーサーを形成するためのサイクルALEプロセスが開示される。本開示のHFCガスは、SiNを選択的にプラズマエッチングするために、飽和又は不飽和の、直鎖又は環状の式C(式中、x=2~5、y>zである)を有する。
半導体デバイスの継続的なダウンスケーリングは、半導体製造プロセスにますます多くの課題をもたらしている。14nm未満のテクノロジーノードでは、最も重要なステップの1つはスペーサーエッチングである。これには、ケイ素やケイ素酸化物などの露光された材料を損傷したり消費したりせずに完全に異方性のエッチング(限界寸法(CD)ロスなし)を行うことが求められる。これは、通常、フルオロカーボンベースの化学物質を使用したプラズマエッチングによって行われる。しかしながら、最先端テクノロジーノードに関連するアスペクト比の増加に伴い、従来のエッチングプロセスでは、形状制御(例えばフーチング及び表面粗さ)、無傷の下層、CD制御などのエッチング仕様にもはや到達させることができない。
産業界では、SiNエッチングに使用される標準的なエッチングプロセスは、酸化剤及び/又は希ガスと組み合わされたHFC、例えば酸化剤(例えばO)、希ガス(例えばAr又はHe)、及び場合によってはF又はOを含有する追加のガス(例えばCH、CF)と組み合わされたCHFである。しかしながら、エッチングの選択性と、形状制御と、下層への損傷との間のトレードオフを管理することは困難である。SiNエッチングについでのこれまでの特許は、異なるHFCを使用してSiNスペーサーを選択的にエッチングすることについて特許請求しているが、形状制御に関する定量化可能な情報はなかった。
Changらの米国特許出願公開第20130105916A1号明細書には、HFCプラズマを使用してSiNの異方性エッチングを行って様々な厚さのSiN、SiO、及びSi上にHFCポリマーを形成することを含む、高選択性窒化物エッチングプロセスが開示されている。このプロセスは飽和又は不飽和の、直鎖又は環状の式C(式中、x=3~6、y>zである)を有するHFCを使用する、SiNの選択的エッチングである。しかしながら、Changらは、フーチング制御などの形状制御についての考察は開示していない。Changらが開示しているエッチングプロセスはサイクルプロセスではない。
Suzukiらの米国特許出願公開第20110068086A1号明細書には、C(式中、x=3~5、y>zである)の飽和分子のみの直鎖又は環状のHFCを使用してターゲットをプラズマエッチングすることを含む、平坦なウェハー上でのプラズマエッチング方法が開示されている。より具体的には、Suzukiらは、半導体構造を含むパターン化されたウェハーではなく、平坦なウェハー上でプラズマ条件下で特定のHFCを利用することによる、SiNのSiOへの選択的エッチングを開示している。実施例に示されているように、Suzukiらは、2,2-ジフルオロ-n-ブタンを使用して、SiN平坦ウェハーとSiO平坦ウェハーをエッチングした。
Metzらの米国特許第8,501,630号明細書又は米国特許出願公開第20120077347A1号明細書には、基板を選択的にエッチングするためのプラズマエッチング方法が開示されている。プラズマエッチングプロセスは、C、H、及びFを含むプロセスガスと、酸素を含まない添加剤ガスとを有するプロセス組成物を使用する。プロセスガスは、CHF、CHF、CH、又はこれらの2つ以上の任意の組み合わせを含む。Metzらが開示したプラズマエッチングプロセスは、サイクルプロセスではない。
Kajiwaraの米国特許出願公開第20010005634A1号明細書には、エッチングガスとしてCHを使用して、SiO上でSiNの高選択的エッチングを行うことによってコンタクトホールを形成するためのドライエッチング方法が開示されている。
Brinkらの米国特許出願公開第20130105996号明細書には、下から上に、基板上に形成された窒素含有誘電体層と、配線レベルの誘電体材料層と、ハードマスク層とを含むスタックに含まれる窒素含有誘電体層のための低エネルギーエッチングプロセスが開示されている。窒素含有誘電体層は、C(x=3~6、y>z)を有するHFCを使用してプラズマエッチングされた。Brinkらは、Si又はSiOへの選択性については言及していない。
Possemeらの米国特許出願公開第20140273292A1号明細書には、露光したケイ素含有層の上のSiN層と、基板の上に配置された少なくとも部分的に形成されたゲートスタックとを堆積するステップ;実質的にフッ素を含まない水素又はヘリウムを含有するプラズマにSiN層を曝露することによってSiN層の一部を改質するステップ;及びウェット洗浄プロセスを行うことによりSiN層の改質部分を除去してSiNスペーサーを形成するステップ;を含む、SiNスペーサーを形成する方法が開示されている。一実施形態では、Possemeらは、CHやCHやCHFなどのHFC含有ガスを使用してSiN層をエッチングしたことを開示している。
Guptaらの米国特許出願公開第20150270140A1号明細書には、Si、Ti、Ta、W、Al、Pd、Ir、Co、Fe、B、Cu、Ni、Pt、Ru、Mn、Mg、Cr、Au、これらの合金、これらの酸化物、これらの窒化物、及びこれらの組み合わせを含む膜をエッチングするための原子層又はサイクルプラズマエッチングの化学及びプロセスが開示されている。例には、Clとエタノール(EtOH)とを使用したFe及びPdのエッチング、Clとアセチルアセトネート(Acac)を使用したNi、Co、Pd、又はFeのエッチングが含まれる。
Zhouらの米国特許出願公開第20160293438A1号明細書には、形状制御が改善されたサイクルスペーサーエッチングプロセスが開示されているが、この方法は、HFCガスではなくNF/NHプラズマに基づいている。
Sherpaらの国際公開第2018/044713A1号パンフレットには、Hと任意選択的な希ガスとを含有するプロセスガス(H、又はHとAr)の第1のステップ;Nと、Fと、Oと、任意選択的な希ガス元素とを含むプロセスガス(NF、O、及びAr)の第2のステップ;を含むSiNの準原子層エッチングの方法が開示されている。
Ranjanらの米国特許第9318343B2号明細書には、C(x、y、及びzはゼロ以外である)で表されるHFCガスを含むプロセスガスを使用する、SiNスペーサー及びシリコン(多結晶シリコンなど)のエッチング及び酸化のサイクルプロセスを含む、SiNスペーサーエッチング中のエッチング選択性を改善する方法が開示されている。Renjanらに開示されているHFCはCHFである。Ranjanらは、スペーサーのフーチングや表面粗さなどの、スペーサーの形状については言及していない。
ケイ素含有スペーサーをエッチングするためのそれらの用途は、フーチングが少ないか全くない、フッ化物の形成が少ないか全くない、エッチング後のスペーサー表面が滑らかである、などのエッチング形状の要件を満たさなければならないため、SiNスペーサーなどのケイ素含有スペーサーをエッチングするための形状制御を改善するために適用可能な新しい今までにないエッチング構成要素を発見することは課題である。そのため、これらの要件を満たすためにそのようなエッチング構成要素を提供することが求められている。
i)反応チャンバー内の基板上の構造を被覆しているSiN層をハイドロフルオロカーボン(HFC)のプラズマに曝露して、SiN層表面を改質するSiN層上に堆積されたポリマー層を形成するステップであって、HFCが式C(式中、x=2~5、y>zである)を有し、且つ飽和又は不飽和の、直鎖又は環状のHFCであるステップ;ii)SiN層上に堆積されたポリマー層を不活性ガスのプラズマに曝露し、エッチフロントのSiN層上に堆積されたポリマー層とSiN層の改質された表面とを不活性ガスのプラズマによって除去するステップ;及びiii)エッチフロントを被覆しているSiN層が除去され、それによって構造の側壁を被覆しているSiN層を有する垂直方向に真っ直ぐなSiNスペーサーが形成されるまで、i)とii)のステップを繰り返すステップ;を含む、サイクルエッチング方法が開示される。
i)反応チャンバー内の基板上の構造を被覆しているSiN層をハイドロフルオロカーボン(HFC)のプラズマに曝露して、SiN層表面を改質するSiN層上に堆積されたポリマー層を形成するステップであって、HFCが式C(式中、x=2~5、y>zである)を有し、且つ飽和又は不飽和の、直鎖又は環状のHFCであるステップ;ii)SiN層上に堆積されたポリマー層を不活性ガスのプラズマに曝露し、エッチフロントのSiN層上に堆積されたポリマー層とSiN層の改質された表面とを不活性ガスのプラズマによって除去するステップ;及びiii)エッチフロントを被覆しているSiN層が除去され、それによって構造の側壁を被覆しているSiN層を有する垂直方向に真っ直ぐなSiNスペーサーが形成されるまで、i)とii)のステップを繰り返すステップ;を含む、垂直方向に真っ直ぐなSiNスペーサーを形成するためのサイクルエッチング方法も開示される。
i)反応チャンバー内の基板上のゲートスタックを被覆しているSiN層を、CF及びCFからなる群から選択されるハイドロフルオロカーボン(HFC)のプラズマに曝露して、SiN層表面を改質するSiN層上に堆積されたポリマー層を形成するステップ;ii)SiN層上に堆積されたポリマー層を不活性ガスのプラズマに曝露し、SiN層上に堆積されたポリマー層とエッチフロントのSiN層の改質された表面とを不活性ガスのプラズマによって除去するステップ;及びiii)エッチフロントを被覆しているSiN層が除去され、それによってゲートスタックの側壁を被覆しているSiN層を有する垂直方向に真っ直ぐなSiNゲートスペーサーが形成されるまで、i)とii)のステップを繰り返すステップ;を含む、垂直方向に真っ直ぐなSiNゲートスペーサーを形成するためのサイクルエッチング方法も開示される。
開示される方法のいずれかは、以下の態様のうちの1つ以上を含み得る:
・i)のステップの後に、
反応チャンバーをポンプで排気して真空にするステップ、
反応チャンバーをNでパージするステップ、
反応チャンバーをポンプで排気して真空にするステップ、及び
不活性ガスを反応チャンバーに導入して不活性ガスのプラズマを生成するステップ、
を更に含む;
・ii)のステップの後に、
反応チャンバーをポンプで排気して真空にするステップ、
反応チャンバーをNでパージするステップ、
反応チャンバーをポンプで排気して真空にするステップ、及び
HFCを反応チャンバーに導入してHFCのプラズマを生成するステップ、
を更に含む;
・SiN層をHFCと不活性ガスとの混合ガスのプラズマに曝露させる;
・ゲートスタックの側壁のSiN層の少なくとも大部分が除去されない;
・ゲートスタックの側壁のSiN層の厚さの10%未満が除去される;
・ゲートスタックの側壁のSiN層の厚さの5%未満が除去される;
・ゲートスタックの側壁のSiN層の厚さの1%未満が除去される;
・ゲートスタックの側壁のSiN層の厚さの測定可能な減少がない;
・不活性ガスがN、Ar、Kr、又はXeから選択される;
・不活性ガスがArである;
・HFCがCFである;
・HFCがCFである;
・基板がケイ素含有材料を含む;
・基板がシリコンである;
・構造がゲートスタックである;
・HFCプラズマがSiNと相互作用してCを多く含むポリマーを形成する(C:F>1);
・Cを多く含むポリマーがSiN層の上に堆積されたポリマー層である;
・HFCが構造上のSiN層を選択的にエッチングする;
・HFCが基板上のSiN層を選択的にエッチングする;
・構造に対するSiNの無限の選択性;
・ゲートスタックに対するSiNの無限の選択性;
・p-Si、SiO、SiON、及びSiCNに対するSiNの無限の選択性;
・ALEオーバーエッチングレシピが適用される;
・ALEオーバーエッチングレシピが、約10%のALEオーバーエッチングから約200%のALEオーバーエッチングまでの範囲である;
・ALEオーバーエッチングレシピが、約50%のALEオーバーエッチングから約200%のALEオーバーエッチングまでの範囲である;
・HFCガスを約1sccm~約10slmの範囲の流量で反応チャンバーに導入する;
・HFCガスを約1sccm~約100sccmの範囲の流量で反応チャンバーに導入する;
・不活性ガスを約1sccm~約10slmの範囲の流量で反応チャンバーに導入する;
・不活性ガスを約10sccm~約200sccmの範囲の流量で反応チャンバーに導入する;
・反応チャンバーが約1mTorr~約50Torrの範囲の圧力を有する;
・反応チャンバーが約1mTorr~約10Torrの範囲の圧力を有する;
・反応チャンバーが約300mTorr~約1Torrの範囲の圧力を有する;
・チャンバー内の基板温度が約-110℃~約2000℃の範囲である;
・チャンバー内の基板温度が約-20℃~約1000℃の範囲である;
・チャンバー内の基板温度が約25℃~約700℃の範囲である;
・チャンバー内の基板温度が約25℃~約500℃の範囲である;
・チャンバー内の基板温度が約25℃~約50℃の範囲である;
・反応チャンバーの壁の温度が約25℃~約100℃の範囲である;
・プラズマ処理時間が0.01秒から10000秒まで変化する;
・プラズマ処理時間が1秒から30秒まで変化する;
・Nパージ時間が1秒から10000秒まで変化する;
・Nパージ時間が10秒から60秒まで変化する;
・SiNスペーサーと基板との間の各コーナーにフーチングがほとんど全く形成されない;
・SiN層及び基板の近傍に残っている余分な材料が少ないか全くない;
・垂直方向に真っ直ぐなSiNスペーサー及びエッチフロントにフッ化物の残留物が残っていない;
・サイクルエッチング後の垂直方向に真っ直ぐなSiNスペーサーの表面及びエッチフロントの表面の表面粗さが、サイクルエッチング前と比較して改善されている;
・イオン衝撃プロセスでポリマー層を除去する;
・酸素含有ガスを添加するステップを更に含む;並びに
・酸素含有ガスがO、O、CO、CO、NO、NO、NO、SO、COS、HO、及びこれらの組み合わせからなる群から選択される。
式C(式中、x=2~5、y>zである)を有するHFCエッチングガスも開示される。本開示のHFCエッチングガスは、以下の態様のうちの1つ以上を含む:
・HFCが飽和又は不飽和の、直鎖又は環状のHFCである;
・約99体積%より高い純度を有する;
・約99.9体積%より高い純度を有する;
・微量ガス不純物を1体積%未満含む;
・微量ガス不純物が水を含む;
・微量ガス不純物がCOを含む;
・微量ガス不純物がNを含む;及び
・HFCエッチングガスの含水率が20ppmw未満である。
表記法及び命名法
以下の詳細な説明及び特許請求の範囲は、当該技術分野で一般によく知られている複数の略語、記号、及び用語を利用しており、以下を含む:
本明細書で使用される不定冠詞「a」又は「an」は、1つ又は複数を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「約」又は「ほぼ」又は「およそ」は、記載された値の±10%を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「室温」は、約20℃~約25℃を意味する。
「ウェハー」又は「パターン化されたウェハー」という用語は、基板上にケイ素含有膜のスタックを有し、且つパターンエッチングのために形成されたケイ素含有膜のスタック上にパターン化されたハードマスク層を有するウェハーを指す。
「基板」という用語は、その上でプロセスが行われる1つ以上の材料を指す。基板は、その上でプロセスが行われる1つ以上の材料を有するウェハーを指す場合がある。基板は、半導体、太陽光発電、フラットパネル、又はLCD-TFTデバイスの製造に使用される任意の適切なウェハーであってよい。基板は、その前の製造ステップからその上に既に堆積された異なる材料の1つ以上の層も有し得る。例えば、ウェハーは、シリコン層(例えば結晶性、アモルファス、多孔性など)、ケイ素含有層(例えばSiO、SiN、SiON、SiCOHなど)、金属含有層(例えば銅、コバルト、ルテニウム、タングステン、白金、パラジウム、ニッケル、ルテニウム、金など)、又はこれらの組み合わせを含み得る。更に、基板は平坦であってもパターン化されていてもよい。基板は、有機物でパターン化されたフォトレジスト膜であってもよい。基板は、MEMS、3D NAND、MIM、DRAM、又はFeRamデバイス用途で誘電体材料として使用される酸化物の層(例えばZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料など)又は電極として使用される窒化物ベースの膜(例えばTaN、TiN、NbN)を含み得る。当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はトレンチ又はラインであってよいことを認識するであろう。本明細書及び特許請求の範囲全体を通して、ウェハー及びその上の関連する層は、基板と呼ばれる。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、パターン化されたハードマスク層の下のケイ素含有膜のスタックなどの非平坦構造をエッチングすることを指す。
本明細書で使用される「エッチ」又は「エッチング」という用語は、等方性エッチングプロセス及び/又は異方性エッチングプロセスを指す。等方性エッチングプロセスは、エッチング化合物と基板との間の化学反応を含み、基板上の材料の一部が除去されることになる。このタイプのエッチングプロセスには、ケミカルドライエッチング、気相ケミカルエッチング、サーマルドライエッチングなどが含まれる。等方性エッチングプロセスは、基板に横方向又は水平方向のエッチング形状を生成する。等方性エッチングプロセスは、基板にあらかじめ形成されたアパーチャの側壁にリセス又は水平方向のリセスを生成する。異方性エッチングプロセスは、基板の表面に対して垂直な材料のみを除去し、マスクパターンの正確な転写を行う。ドライエッチングプロセスは、プラズマエッチングプロセスであってよい。プラズマは、原子又は分子のかなりの割合がイオン化されている任意のガスである。プラズマは、リアクター内に配置された、小さな距離隔てられた2つの金属電極から本質的に構成されるCCPシステムによって生成された容量結合プラズマ(CCP)であってよい。典型的なCCPシステムは、単一のラジオ周波数(RF)電源によって駆動される。2つの電極のうちの1つは電源に接続され、もう1つは接地される。電極間に電界が発生すると、原子がイオン化して電子を放出する。ガス中の電子はRF場によって加速され、衝突によってガスを直接的又は間接的にイオン化して、二次電子を生成することができる。プラズマは、電磁誘導によって、すなわち時間変化する磁場によって生成される電流によりエネルギーが供給されるICPシステムによって生成される誘導結合プラズマ(ICP)又は変圧器結合プラズマ(TCP)であってもよい。ICP放電は、1015cm-3のオーダーの比較的高い電子密度である。その結果、ICP放電は、高密度プラズマ(HDP)が必要とされる幅広い用途を有する。ICP放電のもう1つの利点は、電極が完全に反応チャンバーの外側にあるため、汚染が比較的少ないことである。プラズマエッチングプロセスは、基板に垂直なエッチング形状を生成する。プラズマエッチングプロセスは、基板に垂直なアパーチャ、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサホール、コンタクトホールなどを生成する。
「100%エッチング」という用語は、ALEプロセスがその厚さを完全に通って材料をエッチングすることを意味する。「オーバーエッチング」という用語は、材料を通り抜けてエッチングした後であってもALEプロセスが継続されることを意味する。例えば、本開示の方法において、1つのALEレシピがSiN層に対して1nm/サイクルのエッチング速度を有し、且つSiN層が10nmの厚さを有する場合には、10nmの厚さのSiNを通り抜けて完全にエッチングするために10サイクルが必要とされる。これは100%エッチングを意味する。SiN層をエッチングするためにエッチングサイクルが10サイクルより多く設定される場合には、ALEは「オーバーエッチング」である。例えば、SiN層をエッチングするために15回のエッチングサイクルが設定される場合には、エッチングプロセスは50%のオーバーエッチングである。SiN層をエッチングするために20回のエッチングサイクルが設定される場合には、エッチングプロセスは100%のオーバーエッチングである。
「堆積(deposit又はdeposition)」という用語は、原子又は分子レベルの材料が、気体状態(蒸気)から薄層としての固体状態にウェハー表面又は基板上で堆積される一連のプロセスを指す。化学反応は、反応するガスのプラズマの生成後に生じるプロセスに関与する。プラズマは、2つの電極間のラジオ周波数(RF)(交流(AC))又は直流(DC)放電によって通常形成される上述したCCPであってよく、その間の空間は反応ガスで満たされる。堆積方法には、原子層堆積(ALD)及び化学蒸着(CVD)が含まれ得る。
「マスク」という用語は、エッチングに抵抗する層を指す。ハードマスク層は、エッチングされる層の上に配置することができる。
「アスペクト比」という用語は、トレンチの幅(又はアパーチャの直径)に対するトレンチ(又はアパーチャ)の高さの比を指す。
「選択性」という用語は、ある材料のエッチング速度と別の材料のエッチング速度との比を意味する。「選択的エッチング」又は「選択的にエッチングする」という用語は、ある材料を別の材料よりも多くエッチングすること、言い換えると2つの材料間で1:1より多い又は少ないエッチング選択性を有することを意味する。
なお、本明細書では、「膜」及び「層」という用語は交換可能に使用することができる。膜は、層に対応するか層に関連する場合あり、層は膜を指す場合があることが理解される。更に、当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか表面上に広がるなんらかの材料の厚さを指し、表面がウェハー全体ほどの大きさからトレンチやラインほどの小ささまでの範囲であってよいことを理解するであろう。
また、本明細書において、「エッチング化合物」及び「エッチングガス」という用語は、エッチング化合物が室温且つ周囲圧力下で気体状態にある場合、交換可能に使用することができる。エッチング化合物は、エッチングガスに対応するかエッチングガスに関連する場合があり、エッチングガスはエッチング化合物を指す場合があることが理解される。
元素の周期表からの元素の標準的な略語が本明細書で使用される。元素はこれらの略語で呼ばれる場合があることを理解する必要がある(例えばSiはケイ素を指し、Nは窒素を指し、Oは酸素を指し、Cは炭素を指し、Hは水素を指し、Fはフッ素を指すなど)。
開示されている特定の分子を識別するために、Chemical Abstract Serviceによって割り当てられた固有のCAS登録番号(すなわち「CAS」)が示される。
なお、SiN及びSiOなどのケイ素含有膜は、それらの適切な化学量論を考慮せずに本明細書及び特許請求の範囲全体に記載されていることに留意されたい。ケイ素含有膜は、結晶Si、ポリシリコン(p-Si又は多結晶シリコン)、又はアモルファスシリコンなどの純粋なケイ素(Si)層;窒化ケイ素(Si)層;又は酸化ケイ素(Si)層;又はこれらの混合物を含むことができ、k、l、m、及びnは、包括的に0.1~6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5~1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。本明細書では、以降の説明におけるSiNは、Si含有層を表すために使用される場合がある。好ましくは、酸化ケイ素は、nが0.5~1.5の範囲であり、且つmが1.5~3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書では、以降の説明におけるSiOは、Si含有層を表すために使用される場合がある。ケイ素含有膜は、SiOCHの式を有するApplied Materials,Inc.のBlack Diamond II又はIII材料などの有機ベース若しくは酸化ケイ素ベースのlow-k誘電体材料のような酸化ケイ素ベースの誘電体材料とすることもできる。ケイ素含有膜は、a、b、cが0.1~6の範囲であるSiも含み得る。ケイ素含有膜は、B、C、P、As、及び/又はGeなどのドーパントも含み得る。
範囲は、本明細書において、約ある特定の値から、及び/又は約別の特定の値までとして表現される場合がある。そのような範囲が表現される場合、別の実施形態は、前記範囲内の全ての組み合わせと共に、ある特定の値から、及び/又は別の特定の値までのものであることが理解されるべきである。
本明細書における「一実施形態」又は「ある実施形態」への言及は、実施形態に関連して記載されている特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における「一実施形態では」という語句の出現は、必ずしも全てが同じ実施形態を指すわけではなく、また別の又は代替の実施形態は、必ずしも他の実施形態と相互に排他的ではない。同じことが「実施」という用語にも当てはまる。
本発明の性質及び目的を更に理解するために、添付の図面と併せて以下の詳細な説明を参照する必要がある。添付の図面の中では、同様の要素には同じ又は類似した参照番号が付されている。
図1aは、当該技術分野において下にある基板上にSiNスペーサーを生成するために形成される例示的なパターンの側断面図を示す。図1bは、下にある基板上の例示的なSiNスペーサーの側断面図であり、当該技術分野におけるSiNスペーサーの理想的なエッチング結果を示す。 図1cは、当該技術分野におけるスペーサーの底部にフーチングが生じる実際のスペーサーエッチングプロセスによる、下にある基板上の例示的なSiNスペーサーの側断面図を示す。 図2は、本開示のサイクルALEプロセスのサイクルあたりのプロセスフローを示す。 図3は、CHFを使用したエッチング厚さ対ALEサイクルのグラフである。 図4は、CFを使用したエッチング厚さ対ALEサイクルのグラフである。 図5は、CFを使用したエッチング厚さ対ALEサイクルのグラフである。 図6aは、それぞれCFを使用した100%のエッチング側壁及び100%のオーバーエッチング側壁を有するALE後のSiNスペーサーのEDSマッピングを示す-側壁の水平スキャン。 図6bは、それぞれCFを使用した100%のエッチング側壁及び100%のオーバーエッチング側壁を有するALE後のSiNスペーサーの原子を使用したEDSラインスキャンを示す-スペーサー底部の垂直スキャン。 図7は、CFを使用したSiNスペーサーの連続エッチングを示す:EDSマッピング(左図)及びEDSラインスキャン(右図)。
半導体用途において高い選択性でSi含有基板上に窒化ケイ素(SiN)スペーサーを形成するための形状制御を改善するための方法が開示される。開示される方法は、HFCのプラズマ及び希ガスのプラズマを使用するサイクル原子層エッチング(ALE)プロセスを利用して、SiN層及び/又は下にあるSi含有層(例えば基板)で被覆されている構造上のSiN層を選択的にエッチングする。本明細書において、構造はゲート又はゲートスタックであってよい。
本開示の方法は、SiNスペーサーを形成するための大幅に改善された形状制御を有する。形成されるSiNスペーサーの重要な特徴には、ポリ-Si(又はSi)やSiOなどの下にあるSi含有層に対するSiNの高い選択性が含まれる。形成されるSiNスペーサーの重要な特徴には、オーバーエッチングレシピを使用した場合であっても下にあるSi含有層への化学的損傷がないこと、SiN層と基板とに近接する過剰な材料が少ないか全くないこと、スペーサーのボトムエッジにフーチングが少ないか全くないこと、スペーサーの側壁にF残留物が残っていないことなども含まれる。
半導体用途では、スペーサーは、金属酸化物半導体電界効果トランジスタ(MOSFET)においてゲート接点とソース及びドレイン接点とを分離するために、CVD又はALDによってゲート又はゲートスタックなどの構造上に堆積される材料の層である。材料はSiNなどであってよい。スペーサーは、ゲートスタックの側壁を不動態化する。開示される方法は、ゲートスペーサー、自己整合ダブルパターニング(SADP)スペーサーや自己整合四重パターニング(SAQP)スペーサーを有するパターニングスペーサーなどを含む、半導体用途における任意のタイプのスペーサーに適用することができる。本明細書において、ゲートスタックは、デジタルスイッチ、ランダムアクセスメモリ(RAM)、増幅器、電界効果トランジスタに基づくバイオセンサー(BioFET)、DNA電界効果トランジスタ(DNAFET)、強誘電体、磁気、電解などであってよい。より具体的には、ゲートスタックは、3D NANDやNORなどのフラッシュメモリ、シリコン-酸化物-窒化物-酸化物-シリコン(SONOS)、グローバルひずみとローカルひずみとを含むひずみ界面、強誘電体ゲートスタック、電解界面などを含む高kゲートスタックであってもよい。
図1a~図1cは、下にある基板上への例示的なSiNスペーサーの形成の例示的な側断面図を示している。図1aは、SiNスペーサーを製造するために形成されたトレンチパターンを示しているが、これに限定されない。基板102の頂部にSiNで被覆された構造10及び12が形成された。基板102の頂部に複数のSiNで被覆された構造を形成できるが、2つの構造10及び20のみが示されている。基板102は、Si、ポリ-Si、SiOなどのSi含有材料から構成されるFinFET(フィン型電界効果トランジスタ)基板であってよい。数字104は、基板102上の構造106を被覆するSiNの層を表す。当該技術分野ではピラーとも呼ばれる構造106は、SiN層104によって被覆されたゲートスタックであってよい。理想的な状況では、エッチフロントである構造106の頂部又はピラーの頂部と、基板102の頂部又はトレンチの底部とを水平に被覆しているSiN層は除去される必要があり、底部のコーナーにフーチングがほとんど又は全くない垂直方向に真っ直ぐで均一なSiN側壁が構造106上に得られる必要がある。本明細書において、「a」及び「a」は、構造又はゲートスタックの異なる高さにおける側壁104上のSiN層の厚さを表す。「a」の高さは、例えばピラーの頂部の下側の構造106の高さ全体の約3分の1の高さで、ピラーの頂部に近い高さとすることができ、「a」は、基板102の上側の構造106の高さ全体の約3分の1の高さで、基板102に近い高さとすることができる。SiN層104の下の構造106は、基板102(図示せず)に隣接する底部で湾曲し得ることから、「a」の値は、垂直方向に真っ直ぐなSiNスペーサーを有する「a」の値よりも小さい場合がある。「b」及び「c」は、それぞれ、構造106の頂部及び基板102の頂部のSiN層の厚さを表す。本明細書において、「b」及び「c」はエッチフロントの厚さである。更に、「c」は、SiN層を除去した後の基板102の除去厚さを表すこともできる。この場合、「c」負の値であってもよい。図1bに示されているように、構造206上の垂直方向に真っ直ぐ且つ均一なSiN側壁204の被覆が形成され、構造206の頂部と基板202の頂部とを水平に被覆しているエッチフロントのSiN層が除去される、理想的なSiNスペーサーのエッチング結果が表されている。しかしながら、実際のスペーサーエッチングプロセスは、図1cに示されているように、過剰な材料がSiN層及び基板の近傍に残り、スペーサーの底部にフーチング308を生成することが多い。本明細書において、基板302に隣接するフーチング308の水平方向の長さ「d」は、フーチングのサイズを表すために定義される。
Si含有基板上に形成されたSiNスペーサーのエッチング形状を制御するための本開示のサイクルALEプロセスは、SiNスペーサーを製造する際のフーチングの欠陥を克服する。Si含有基板上に形成されるSiNスペーサーのエッチング形状を制御するための本開示のサイクルALEプロセスは、SiNスペーサーを製造する際に先細になることなく垂直方向に真っ直ぐなスペーサーも生成する。本開示のサイクルALEプロセスは、1つのALEサイクルにおいて、表面改質ステップ又は堆積ステップと表面除去ステップ又はエッチングステップとを含む。表面改質ステップ中に、反応チャンバー内で、SiN層(図1aを参照、SiN層104)の表面を改質するポリマーの薄層が、SiN層の表面上に堆積される。ポリマーの薄層は、HFCガスのプラズマ、又はHFCガスと不活性ガス(N、Ar、Kr、Xeなど、好ましくはAr)とのガス混合物のプラズマによって形成される。HFCガスは、SiN層の表面で材料SiNと反応し、Cを多く含むポリマー(C:F>1)であるポリマーの薄層を形成し、これはSiN層表面の改質表面層とも呼ばれ、ここでポリマーの薄層とSiN層の表面との間の中間層に化学結合が形成される。表面除去ステップでは、改質された表面層は、改質表面層をスパッタリングするための高エネルギーイオン衝撃により、高揮発性であり且つチャンバーからポンプで送り出すことができる純粋な不活性ガス(例えばAr)のプラズマによってエッチング又は除去される。表面除去ステップの後、表面改質ステップが繰り返され、サイクルALEプロセスが形成される。サイクルALEでは、ALEオーバーエッチングレシピを適用して、構造又はゲートスタックに対するSiNの無限の選択性により、エッチフロントのSiN層を更に除去することができる。ALEオーバーエッチングレシピは、約10%のALEオーバーエッチングから約200%のALEオーバーエッチングまで、好ましくは約50%のALEオーバーエッチングから約200%のALEオーバーエッチングまでの範囲であってよい。これらのプロセスはサイクルすることができ、材料の段階的な除去を可能にし、これによりパターン忠実度が高められ、SiNスペーサーのフーチングが最小限に抑えられる。表面改質ステップと表面除去ステップとの間、又は堆積ステップとエッチングステップの後に、Nパージステップが適用される。Nパージステップは、Nパージステップの前にHFCガスを反応チャンバーからポンプで出すための真空ポンプステップと、Nパージステップの後にNを反応チャンバーからポンプで出すための真空ポンプステップとを含む。
理想的なサイクルALEプロセスは、自己律速型反応に基づく。これは、反応物が、底部の層を無傷に保ちながら、基板上の利用可能な表面部位とのみ反応することを意味する。ALEプロセス条件は、反応物の流量と曝露時間に関する自己律速的な性質を追跡することによって最適化することができる。相乗反応を回避するために、各ステップの終わりに一定のNパージが使用されて系から過剰なエッチャントが排除された。
図2を参照すると、本開示のALEプロセスの1つのサイクルにおいて、HFCガスとArとのガス混合物から形成されたプラズマエッチングガスは、ステップ1の反応チャンバー内のSiN層の表面上にポリマーの薄層を堆積する。次いで、ステップ2で、ポリマーの薄層が純粋な不活性ガス(例えばAr)のプラズマによってエッチング又は除去される。各ステップの後、反応チャンバーは、反応チャンバーをポンプで真空にし、パージのために反応チャンバーにNを充填し、そして次のステップに進む前に再度反応チャンバーをポンプで真空にすることを含む、ポンプ/Nパージ/ポンププロセスを受ける。
本開示のサイクルALE方法は、SiNを選択的にプラズマエッチングするために、式C(x=2~5、y>z)を有する飽和又は不飽和の、直鎖又は環状のHFCガスを使用することを含み得る。HFCプラズマは、SiNと相互作用してCを多く含むポリマー(C:F>1)を形成し、これがSiN層の上に堆積されてポリマー層を形成する。本開示のHFCガスは、プラズマチャンバー内で不活性ガスと混合して、ポリマー層だけでなくSiN層の単一原子層も選択的にエッチングするために使用することができる。したがって、SiNスペーサーは、高い選択性、最小化されたフーチング、限定的なフッ素生成、及びSiNスペーサーの平滑な表面などの改善された形状制御を伴い形成される。不活性ガスは、Ar、Kr、及びXeであってよい。好ましくはArである。
SiN層上にポリマー層を形成するための本開示のHFCガスは、以下のHFCガス、すなわち、フルオロエタンCF(CAS#353-36-6)及び1-フルオロプロパンCF(CAS#460-13-9)を含み得る。これらのHFCガスは、プラズマチャンバー内で不活性ガスと混合してSiN層上にポリマー層を堆積させるために使用される。SiN層の表面を改質するために、ポリマー層とSiN層との間に中間層が形成れる。次いで、Arなどの不活性ガスのプラズマがポリマー層だけでなく中間層も選択的に除去する。これは、SiN層の単一の原子層を除去することに相当する。このようにして、SiNスペーサーは、高い選択性、最小化されたフーチング、限定的なフッ素生成、及びSiNスペーサーの平滑な表面などの改善された形状制御を伴い形成される。不活性ガスは、Ar、Kr、及びXeであってよい。好ましくはArである。
本開示のHFCガスは、主要な不純物であるN、CO、SO、HOなどを除去することにより、99%v/vを超える純度で、好ましくは99.9%v/vを超える純度で供給される。
本開示のHFCガスは、1体積%未満の微量ガス不純物を含み、体積基準で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが前記微量ガス不純物の中に含まれる。好ましくは、プラズマエッチングガスの含水量は、重量基準で20ppmw未満である。蒸留により、及び/又はガス若しくは液体を4Åのモレキュラーシーブなどの適切な吸着剤に通すことにより、精製された生成物を製造することができる。
本開示のサイクルALE方法は、その中に配置された基板を有するプラズマ処理チャンバーを準備することを含む。プラズマ処理チャンバーは、限定するものではないが、反応性イオンエッチング(RIE)、単一又は複数の周波数のRF源を用いた容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、電子サイクロトロン共鳴(ECR)、マイクロ波プラズマ反応器、遠隔プラズマ反応器、パルスプラズマ反応器、又はケイ素含有膜の一部を選択的に除去できるか活性種を生成できる他のタイプのエッチングシステムなどの、プラズマエッチングに使用される任意のチャンバー又はエンクロージャなどの、エッチング方法が行われるデバイス内の任意のエンクロージャ又はチャンバーであってよい。好ましいチャンバーはCCPチャンバーである。
当業者は、異なるプラズマ反応チャンバー設計が異なる電子温度制御を提供することを認識するであろう。適切な市販のプラズマ反応チャンバーとしては、限定するものではないが、商標eMAX(商標)として販売されているApplied Materialsの磁気増強反応性イオンエッチャー、又は商標2300(登録商標)Flex(商標)として販売されているLam ResearchデュアルCCP反応性イオンエッチャー誘電体エッチング製品の群が挙げられる。これらにおけるRF出力は、プラズマ特性を制御するためにパルス化されてもよく、それによってエッチング性能(選択性及び損傷)が更に改善される。
ポリマー堆積を排除するため、又はポリマー堆積の厚さを減らすために、酸素含有ガスを反応チャンバーに導入することができる。酸素含有ガスとしては、限定するものではないが、O、O、CO、CO、NO、NO、NO、SO、COS、HO、及びこれらの組み合わせなどの酸化剤が挙げられる。プラズマ化学物質への酸素又は酸素含有ガスの添加は、プラズマ種のF/C比を増加させ、ポリマー形成を減少させることが知られている(例えばHungらの米国特許第6387287号明細書を参照)。本開示のHFCガス及び酸素含有ガスは、反応チャンバーに導入する前に一緒に混合することができる。
或いは、酸素含有ガスは連続的にチャンバーに導入され、本開示のHFCガスはパルスでチャンバーに導入される。酸素含有ガスは、チャンバーに導入される混合物の約0.01体積%~約99.99体積%を占める。
本開示のサイクルALE方法において、プラズマ処理時間は、0.01秒から10000秒まで変化し得る。好ましくは1秒~30秒である。Nパージ時間は1秒から10000秒まで変化し得る。好ましくは10秒から60秒である。
反応チャンバー内の温度及び圧力は、ケイ素含有膜が活性化されたエッチングガスと反応するのに適した条件に保持される。例えば、チャンバー内の圧力は、エッチングパラメータによって要求される通りに、約1mTorr~約50Torr、好ましくは約1mTorr~約10Torr、より好ましくは約300mTorr~約1Torrに保持することができる。同様に、チャンバー内の基板温度は、約-110℃~約2000℃、好ましくは約-70℃~約1500℃、より好ましくは約-20℃~約1000℃、更に好ましくは約25℃~約700℃、更に好ましくは約25℃~約500℃、更に好ましくは約25℃~約50℃の範囲とすることができる。チャンバー壁の温度は、処理要件に応じて約25℃~約100℃の範囲とすることができる。
一実施形態では、本開示のHFCガスは、被覆されたSiN層が上に形成されたゲートスタックなどの構造を有する基板が入っている反応チャンバーに導入される。ガスは、約1sccm~約10slmの範囲の流量でチャンバーに導入することができる。好ましくは1sccm~100sccmである。不活性ガスは、約1sccm~約10slmの範囲の流量でチャンバーに導入することができる。好ましくは10sccm~200sccmである。当業者は、流量がツールごとに異なってよいことを認識するであろう。
本開示のサイクルエッチング方法は、i)プラズマ処理チャンバー又は反応チャンバー内の基板ホルダー上にパターン化された基板を配置するステップであって、パターン化された基板が基板上の少なくとも1つの構造を被覆するSiN層を有し、ここでの構造がゲートスタックであってよく、基板がSi含有層を含み得るステップ;ii)HFCガス又はHFCガスと不活性ガスとの混合物を反応チャンバーに導入して中にプラズマを生成するステップであって、プラズマが生成すると、プラズマがSiN層上にSiN表面を改質するポリマー層を堆積し、HFCガスが式C(x=2~5、y>z)を有する飽和又は不飽和の、直鎖又は環状のHFCガスであり、不活性ガスが、N、Ar、Kr、Xe、好ましくはArであるステップ;iii)反応チャンバーが高真空に到達するまで、HFCガス又はHFCガスと不活性ガスとの混合物を反応チャンバーからポンプで排出するステップ;iv)反応チャンバーをNでパージするステップ;v)反応チャンバーをポンプで排気して再度高真空にするステップ、すなわち反応チャンバーが高真空に到達するまで、Nを反応チャンバーからポンプで排出するステップ;vi)不活性ガスを反応チャンバーに導入して不活性ガスのプラズマを生成するステップ;vii)SiN層上に堆積されたポリマー層を不活性ガスのプラズマに曝露するステップであって、不活性ガスのプラズマが、エッチフロントのSiN層上に堆積されたポリマー層及びエッチフロントのSiN層の改質された表面をイオン衝撃により除去するステップ;vii)反応チャンバーをポンプで排気して高真空にするステップ、すなわち反応チャンバーが高真空に到達するまで、不活性ガスを反応チャンバーからポンプで排出するステップ;viii)反応チャンバーをNでパージするステップ;ix)反応チャンバーをポンプで排気して高真空にするステップ;及びx)エッチフロントのSiN層が選択的に除去されるまでii)からix)のステップを繰り返し、それにより、ゲートスタックの側壁にSiN層を含む実質的に垂直方向に真っ直ぐなSiNスペーサーを形成するステップ;を更に含む。ここではオーバーエッチングレシピを適用することができ、例えば50%のオーバーエッチングから200%のオーバーエッチングまでを適用することができる。
理想的な場合には、イオン衝撃プロセスは、エッチフロントのポリマー層及びSiN層の改質された表面、すなわちピラーの頂部及びトレンチの底部のSiN層及びSiN層の改質された表面のみを除去し、側壁のSiN層は変化しないままである。実際には、側壁のSiN層の厚さは、わずかな偏差及び/又は湾曲した底部を持つ構造に起因してわずかに変化し得る。本開示のサイクルエッチング方法は、ゲートスタックの側壁上のSiN層の少なくとも大部分が除去されないことを提供する。好ましくは、ゲートスタックの側壁上のSiN層の厚さの10%未満、特に構造の底部に近いSiN層が除去される。より好ましくは、ゲートスタックの側壁上のSiN層の厚さの5%未満が除去される。更に好ましくは、ゲートスタックの側壁上のSiN層の厚さの1%未満が除去される。更に好ましくは、ゲートスタックの側壁上のSiN層の厚さの測定可能な減少は生じない。
従来のSiNスペーサーエッチングプロセスと比較して、本明細書に開示のHFCガスを使用する本開示のサイクルALEプロセスは、下にある材料に著しい表面粗さ又は化学汚染(例えばF残留物)を生じさせることなしに、化学的完全性を維持しながら、以下の実施例から、スペーサーのボトムエッジでSiNフーチングを70%より多く低減することができる。より具体的には、CFを使用するサイクルALEプロセスでは、トレンチの底部と側壁でフッ化物残留物が生成されない。ここで、フッ化物残留物がないということは、トレンチの底部及び側壁に残っているフッ化物残留物が約0.05%未満、好ましくは0.03%未満であることを意味する。本開示のHFCガスを使用する本開示のサイクルALEプロセスは、SiNスペーサーの滑らかな表面も生成する。
以降の非限定的な実施例は、本発明の実施形態を更に説明するために提供される。しかしながら、これらの実施例は、全てを網羅することを意図するものではなく、また本明細書に開示の発明の範囲を限定することを意図するものではない。
以下の実施例は、各ステップについて可変条件でCCPプラズマチャンバーを用いて行った。プラズマ出力、圧力、ガス流量、反応時間などは非常によく制御された。圧力範囲は300mTorr~1Torrであった。温度範囲は25℃~50℃であった。CHF又はCF又はCFのガス流量は1sccm~10sccmで変化させた。希ガスの流量は10sccm~200sccmで変化させた。使用した希ガスはArであった。RFプラズマ出力は50W~100Wの範囲であった。プラズマ処理時間又は反応時間は1秒~30秒で変化させた。Nパージ時間は10秒~60秒で変化させた。N、CO、C、SO、HOなどの主要な不純物を除去することにより、CHF又はCF又はCFの望ましい純度は>99.9%であった。
以下の実施例で使用したサンプルは、図1aに示されているようなパターン化されたスペーサーウェハーであり、基板はSi基板であった。
エリプソメーター(Ellisometer)は、J.A.Wololam Co.M-2000であった。パターン化された構造を画像化するためのSEM(走査型電子顕微鏡)は、JOEL JSM-7500SEMであった。表面を特性評価するためのXPSは、Kratos XPS-Supra Modelであった。表面を調べるためのAFM(原子間力顕微鏡)はPark NX10 AFMであった。パターン化された構造を画像化するためのTEM(透過型電子顕微鏡)は、明視野(BF)TEMモード及び高解像度(HR)TEMモードで200kVで作動するFEI Tecnai Osiris FEG/TEMを用いて行った。EDS(電子拡散スペクトル)は、Bruker QuantaxEDSシステム上で取得した。
実施例1 CHFサイクルALEプロセス
CHFサイクルALEプロセスは、最適化されたALE条件下で行った。図2を参照すると、エッチングガスはCHFであった。CHFを用いた堆積ステップ(ステップ1)は、RF出力75W、圧力300mTorr、Arガス流量100sccm、CHF流量5sccmで行った。堆積ステップの反応時間は4秒であった。除去ステップ(ステップ2)は、RF出力50W、圧力500mTorr、Arガス流量100sccm、CHFなし、反応時間30秒で行った。ステップ1とステップ2の間のポンプ/Nパージ/ポンププロセス及びその逆の時間は90秒であった。図3は、CHFについてのALEサイクルに対するエッチングされた厚さを示している。ALEサイクルの増加に伴い、SiNのエッチング厚さは深くなり、SiNのp-Si、SiO、及びSiONに対する選択性は高くなり、SiNのSiCNに対する選択性は変化しないままにすることができる。様々なALEサイクルでCHFを使用した1サイクルあたりのSiNのエッチング厚さが表1に記載されている。
Figure 0007241894000001
実施例2 CFサイクルALEプロセス
FサイクルALEプロセスは、最適化されたALE条件下で行った。図2を参照すると、エッチングガスはCFであった。CFを用いた堆積ステップ(ステップ1)は、RF出力75W、圧力300mTorr、Arガス流量100sccm、CF流量5sccmで行った。堆積ステップの反応時間は4秒であった。除去ステップ(ステップ2)は、RF出力50W、圧力500mTorr、Arガス流量100sccm、CFなし、反応時間35秒で行った。ステップ1とステップ2の間ポンプ/Nパージ/ポンププロセス及びその逆の時間は90秒であった。図4はCFについてのALEサイクルに対するエッチングされた厚さを示している。ALEサイクルの増加に伴い、SiNのエッチング厚さは直線的に増加し、p-Si、SiO、及びSiONへのエッチングは生じなかった。CFサイクルALEプロセスの結果は、p-Si、SiO、SiON、及びSiCNに対するSiNの非常に高い選択性、ほぼ無限の選択性を示している。
CHFを使用するサイクルALEプロセスと比較すると、CFガスは、p-Si、SiO、SiON、及びSiCNに対するSiNのより高いエッチング選択性、より低いエッチング速度を示し、その結果、より少ない1サイクルあたりのエッチング量が得られる。様々なALEサイクルでCFを使用した1サイクルあたりのSiNのエッチング厚さが表1に記載されている。
実施例3 CFサイクルALEプロセス
FサイクルALEプロセスは、最適化されたALE条件下で行った。図2を参照すると、エッチングガスはCFであった。CFを用いた堆積ステップ(ステップ1)は、RF出力75W、圧力300mTorr、Arガス流量100sccm、CF流量5sccmで行った。堆積ステップの反応時間は4秒であった。除去ステップ(ステップ2)は、RF出力50W、圧力500mTorr、Arガス流量100sccm、CFなし、反応時間40秒で行った。ステップ1とステップ2の間、及びその逆のポンプ/Nパージ/ポンププロセスの時間は150秒であった。図5はCFについてのALEサイクルに対するエッチングされた厚さを示している。エッチングされた厚さの量は、2.0~2.4nm/サイクルのエッチング速度で、ALEサイクル数と共に直線的に増加した。他の材料に対するSiNの無限のエッチング選択性も、最適化された条件下で得ることができる。様々なALEサイクルでCFを使用したサイクルあたりのSiNのエッチング厚さが表1に記載されている。
実施例4 CHF及びCFを使用したSiNスペーサーパターン化ウェハーのサイクルALEのSEM
図1aに関し、エッチング前のSiNスペーサーパターン化ウェハーの寸法は以下の通りである:「a」は34nmであり;「b」は34nmであり;「c」は34nmである。基板102はSi基板である。エッチング後に懸念される主な要因は、Si基板への損傷、側壁の堆積、スペーサーと基板との間のコーナーにおけるフーチング、SiN層及び基板又はエッチフロントのフッ化物残留物、SiN層と基板又エッチフロントの表面粗さなどである。表2に、50%エッチング、100%エッチング、100%オーバーエッチング、及び200%オーバーエッチングなどの、様々なサイクルALEモードでCHF及びCFを用いたSiNスペーサーのサイクルALE後のエッチフロントの厚さが記載されている。CFを用いたALE100%エッチング及びALE100%オーバーエッチングが最適化された結果が示されており、スペーサーの底部に形成されるフーチングが少ないか、全くないことが示されていることに着目される。
Figure 0007241894000002
実施例5 CFを使用したSiNスペーサーパターン化ウェハーのサイクルALEのTEM
実施例4で示したCFを用いたALE100%エッチング及び100%オーバーエッチングを、TEMで更に試験した。
図1aに関し、エッチング前のSiNスペーサーパターン化ウェハーの寸法は以下の通りである:「a」は34nmであり;「b」は34nmであり;「c」は34nmである。基板102はSi基板である。TEM用のサンプルは、FEI Strata400デュアルビームFIB/SEMでのin situ集束イオンビーム(FIB)リフトアウト技術を使用して準備した。サンプルは、粉砕前に保護カーボンとe-Pt/I-Ptとでキャップした。TEMラメラの厚さは約100nmであった。サンプルは、200kVで作動するFEI Tecnai Osiris FEG/TEMを用いて、明視野(BF)TEMモードと高解像度(HR)TEMモードで画像化した。CFを使用したサイクルALEのTEM結果は表3に記載されている。
ALE-100%エッチングでは、オーバーエッチングは発生せず、ピラー頂部のSiNは完全にはエッチングされず、側壁のSiN層の左(L)及び右(R)の厚さ(「a」、基板に近いゲートスタックの高さ全体の約3分の1)はそれぞれ32.6nmと32.3nmであり、左右のフーチング(「d」)は6.6nm及び8.2nmであった。側壁のSiN層の厚さ(「a」)は約5%減少した。対照的に、ALE-100%オーバーエッチングでは、ピラー頂部のSiNが完全にエッチングされ、側壁のSiN層の左右の厚さ(「a」)はそれぞれ30.4及び31.1nmであり、左右のフーチングは6.0nm及び3.9nmであった。側壁上のSiN層の厚さ(「a」)は約9.5%減少した。したがって、ゲートスタックの側壁上のSiN層の厚さの10%未満が除去される。側壁上のSiN層の厚さ(a)の減少は、構造又はゲートスタックに隣接するSiN層の内側を湾曲させる、基板に隣接する構造又はゲートスタックの湾曲に起因する可能性がある。側壁上のSiN層の厚さ(a)の減少も、小さな偏差に起因し得る。
Siリセスは、エッチングされたSi基板の厚さの量を意味する。Siリセスは、SiN側壁のボトムエッジから左右の方向に10nm離れて測定した。ALE-100%エッチングでは、オーバーエッチングは生じず、左右のSiリセスはそれぞれ1.446nm及び1.285nmであった。対照的に、ALE-100%オーバーエッチングでは、左右のSiリセスはそれぞれ4.096nm及び4.194nmであった。
Fを使用する100%エッチング及び100%オーバーエッチングでのALE後のSiNスペーサーの表面粗さは、ピラー頂部の表面粗さ(T)及びトレンチ底部の表面粗さ(B)を含む。表3には、表面粗さの結果も含まれている。ALE100%エッチングでは、2~3原子層レベル(a.l.)のSiN層がピラー頂部に残ったままであった(正の値)。これは、ピラー頂部のSiN層が完全に除去されなかったことを意味する。この場合、SiN層とピラー頂部との間の界面は滑らか且つ平坦であり、エッチングなしの表面粗さに相当する。ALE-100%エッチングでエッチングされたトレンチ底部も、トレンチ底部に2~3原子層レベルのSiN層が残ったままであったことを示している。ALE-100%オーバーエッチングでは、ピラー頂部とトレンチ底部が全て2~3原子層レベルでエッチングされた(負の値)。
Figure 0007241894000003
実施例6 CFを使用したSiNスペーサーパターン化ウェハーのサイクルALEのEDS
図6aは、CFを使用したそれぞれ100%のエッチング側壁及び100%のオーバーエッチング側壁を有するALE後のSiNスペーサーのEDSマッピングを示している(側壁の水平スキャン)。100%エッチングでは、オーバーエッチングは生じず、側壁にF残留物が生じない。100%オーバーエッチングでも、側壁にF残留物は生じない。
図6bは、CFを使用したそれぞれ100%のエッチング側壁及び100%のオーバーエッチング側壁を有するサイクルALE後のSiNスペーサーの原子を使用するEDSラインスキャンを示している(スペーサー底部の垂直スキャン)。100%エッチングでは、側壁にF残留物が生じない。100%オーバーエッチングでも、側壁にF残留物は生じない。
実施例7 CFを使用したサイクルALEvs連続エッチング
表4は、連続エッチングとサイクルALEの比較である。結果から、連続エッチングプロセスでは、Siリセスが2.9nmであり;側壁にポリマー層が形成され;フーチングが左コーナーで16.2nm、右コーナーで15.3nmであることが示されている。一方で、サイクルALEプロセスでは、結果から、Siリセスが4.1~4.2nmであり;最小限のポリマー層が側壁に形成され;フーチングが左で6.0nmであり、右で3.9nm形成されたことが示されている。連続エッチングと比較して、サイクルALEプロセスはフーチングを約75%低減させる。このように、サイクルALEプロセスでは、Siリセスと表面粗さが全て改善され、CFを使用してSiNスペーサーをエッチングする連続エッチングプロセスと比較して、フーチングがほとんど又は全く形成されない。本明細書では、フーチングがほとんど又は全くないことは、「d」≦約6nmによって定義することができる。
Figure 0007241894000004
図7は、CFを使用したSiNスペーサーの連続エッチングを示している:EDSマッピング(左図)及びEDSラインスキャン(右図)。連続エッチングでは、明らかにF残留物が側壁(約22~36nm)とトレンチ底部(約36~58nm)に存在していた。その一方で、図6a及び図6bにはF残留物は示されていなかった。
表5には、それぞれ、サイクルALE及び連続エッチング後にトレンチ底部及び側壁に残っているフッ化物残留物の測定されたパーセント割合が記載されている。サイクルALEプロセスモードでは、トレンチ底部と側壁にフッ化物がほとんど残っていなかった一方で、連続エッチング法では、トレンチ底部と側壁にフッ化物残留物が生成した。
このように、CFを用いたサイクルALEプロセスモードは、フッ化物残留物を生成せず、エッチフロント及び側壁の表面の残留エッチャントを低減する。CFを用いたサイクルALEプロセスモードでは、SiNフーチングが最小限に抑えられ、SiNスペーサー頂部への損傷がほとんど又は全くない。
Figure 0007241894000005
実施例8 サイクルALEにCFを使用したSiN平坦ウェハーの表面粗さ
表面粗さ-平坦ウェハー上のSiNの薄膜のRMSを、CFを用いたサイクルALEの前後にAFMによって測定した。CFを用いたサイクルALEの前はRMS(二乗平均平方根)=2.9nmである。CFを用いたサイクルALEの後はRMS=1.1nmである。このように、CFを用いたサイクルALEの後に、より小さいRMSが達成された。これは、CFを用いたALEの改善された表面平滑化効果を示している。
まとめると、CFやCFなどの本開示のHFCを使用するSiNスペーサーの本開示のサイクルALEは、SiNフーチングを最小限にすることができ(例えば連続エッチングと比較してフーチングを約75%低減)、ピラー頂部、トレンチ底部、及び側壁にF残留物を生成せず、サイクルALEプロセス後の化学汚染及び表面粗さの低下がない。CFやCFなどの本開示のHFCを使用するSiNスペーサーの本開示のサイクルALEは、半導体用途においてSi含有基板上に形成されるSiNスペーサーをエッチングするためのエッチング形状制御を高い選択性で改善する。
本発明の性質を説明するために本明細書で説明及び図示されたパーツの詳細、材料、ステップ、及び配置における多くの追加の変更が、添付の特許請求の範囲に記載されている本発明の原理及び範囲内で当業者によって行われ得ることは理解されるであろう。したがって、本発明は、上で示した実施例及び/又は添付の図面における具体的な実施形態に限定されることを意図するものではない。
本発明の実施形態が示され説明されてきたが、その修正は、本発明の趣旨又は教示から逸脱することなしに当業者によって行われ得る。本明細書に記載の実施形態は例示にすぎず、限定するものではない。組成物及び方法の多くの変形及び修正が可能であり、それらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載の実施形態に限定されず、以降の特許請求の範囲によってのみ制限され、その範囲は、特許請求の範囲の主題の全ての均等物を含むものとする。

Claims (20)

  1. 垂直方向に真っ直ぐなSiNゲートスペーサーを形成するためのサイクルエッチング法であって、
    i)反応チャンバー内のケイ素含有基板上のゲートスタックを被覆しているSiN層を、CFとCFとからなる群から選択されるヒドロフルオロカーボン(HFC)のプラズマに曝露して、前記SiN層の表面を改質する前記SiN層上に堆積されたポリマー層を形成するステップ;
    ii)前記SiN層上に堆積された前記ポリマー層を不活性ガスのプラズマに曝露し、前記不活性ガスの前記プラズマが、エッチフロントの前記SiN層上に堆積された前記ポリマー層及び前記SiN層の前記改質表面を除去するステップ;並びに
    iii)前記エッチフロントの前記SiN層が選択的に除去されるまでi)及びii)のステップを繰り返し、それにより、前記ゲートスタックの側壁に前記SiN層を含む実質的に垂直方向に真っ直ぐなSiNスペーサーを形成するステップ;
    を含む方法。
  2. 前記i)のステップの後に、
    前記反応チャンバーをポンプで排気して真空にするステップ;
    前記反応チャンバーをNでパージするステップ;
    前記反応チャンバーをポンプで排気して真空にするステップ;及び
    前記不活性ガスを前記反応チャンバーに導入して前記不活性ガスの前記プラズマを生成するステップ;
    を更に含む、請求項1に記載のサイクルエッチング方法。
  3. 前記ii)のステップの後に、
    前記反応チャンバーをポンプで排気して真空にするステップ;
    前記反応チャンバーをNでパージするステップ;
    前記反応チャンバーをポンプで排気して真空にするステップ;及び
    Fガス又はCFガスを前記反応チャンバーに導入してCF又は
    Fのプラズマを生成するステップ、
    を更に含む、請求項2に記載のサイクルエッチング方法。
  4. 前記繰り返しステップにおいて、前記ゲートスタックの前記側壁上の前記SiN層の厚さ(a)の10%未満が除去される、請求項1~3のいずれか一項に記載のサイクルエッチング方法。
  5. 前記不活性ガスがArである、請求項1~3のいずれか一項に記載のサイクルエッチング方法。
  6. C2H5F及びCFが前記ゲートスタック上の前記SiN層を選択的にエッチングする、請求項1~3のいずれか一項に記載のサイクルエッチング方法。
  7. 前記垂直方向に真っ直ぐなSiNスペーサーと前記基板との間の各コーナーに形成されたフーチング(d)が6.0nm以下の大きさである、請求項1~3のいずれか一項に記載のサイクルエッチング方法。
  8. 前記垂直方向に真っ直ぐなSiNスペーサー及び前記エッチフロントにフッ化物残留物が残らない、請求項1~3のいずれか一項に記載のサイクルエッチング方法。
  9. 前記ステップii)において前記ポリマー層を除去するステップがイオン衝撃プロセスである、請求項1~3のいずれか一項に記載のサイクルエッチング方法。
  10. i)反応チャンバー内の基板上の構造を被覆しているSiN層をハイドロフルオロカーボン(HFC)のプラズマに曝露して、SiN層表面を改質するSiN層上に堆積されたポリマー層を形成するステップであって、前記HFCが、式C(式中、x=2~5、y>zである)を有し、且つ飽和又は不飽和の、直鎖又は環状のHFCであるステップ;
    ii)前記SiN層上に堆積された前記ポリマー層を不活性ガスのプラズマに曝露し、エッチフロントの前記SiN層上に堆積された前記ポリマー層と前記SiN層の前記改質された表面とを前記不活性ガスの前記プラズマによって除去するステップ;及び
    iii)エッチフロントのSiN層が選択的に除去され、それによって前記構造の側壁上の前記SiN層を含む実質的に垂直方向に真っ直ぐなSiNスペーサーが形成されるまで、i)とii)のステップを繰り返すステップ;
    を含む、サイクルエッチング方法。
  11. 前記i)のステップの後に、
    前記反応チャンバーをポンプで排気して真空にするステップ;
    前記反応チャンバーをNでパージするステップ;
    前記反応チャンバーをポンプで排気して真空にするステップ;及び
    不活性ガスを前記反応チャンバーに導入して前記不活性ガスの前記プラズマを生成するステップ;
    を更に含む、請求項10に記載のサイクルエッチング方法。
  12. 前記ii)のステップの後に、
    前記反応チャンバーをポンプで排気して真空にするステップ;
    前記反応チャンバーをNでパージするステップ;
    前記反応チャンバーをポンプで排気して真空にするステップ;及び
    前記HFCを前記反応チャンバーに導入して前記HFCのプラズマを生成するステップ、
    を更に含む、請求項11に記載のサイクルエッチング方法。
  13. 前記繰り返しステップにおいて、前記構造の前記側壁上の前記SiN層の厚さ(a)の10%未満が除去される、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  14. 前記不活性ガスがN、Ar、Kr、又はXeから選択される、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  15. 前記HFCがCFである、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  16. 前記HFCがCFである、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  17. 前記HFCが前記構造上の前記SiN層を選択的にエッチングする、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  18. 前記垂直方向に真っ直ぐなSiNスペーサーと前記基板との間の各コーナーに形成されたフーチング(d)が6.0nm以下の大きさである、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  19. 前記垂直方向に真っ直ぐなSiNスペーサー及び前記エッチフロントにフッ化物残留物が残らない、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
  20. 前記ステップii)において前記ポリマー層を除去するステップがイオン衝撃プロセスである、請求項10~12のいずれか一項に記載のサイクルエッチング方法。
JP2021544933A 2019-02-01 2020-01-31 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法 Active JP7241894B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023034832A JP2023065655A (ja) 2019-02-01 2023-03-07 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/265,782 US10629451B1 (en) 2019-02-01 2019-02-01 Method to improve profile control during selective etching of silicon nitride spacers
US16/265,782 2019-02-01
PCT/US2020/016158 WO2020160437A1 (en) 2019-02-01 2020-01-31 Method to improve profile control during selectively etching of silicon nitride spacers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023034832A Division JP2023065655A (ja) 2019-02-01 2023-03-07 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法

Publications (2)

Publication Number Publication Date
JP2022524928A JP2022524928A (ja) 2022-05-11
JP7241894B2 true JP7241894B2 (ja) 2023-03-17

Family

ID=70285024

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021544933A Active JP7241894B2 (ja) 2019-02-01 2020-01-31 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法
JP2023034832A Pending JP2023065655A (ja) 2019-02-01 2023-03-07 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023034832A Pending JP2023065655A (ja) 2019-02-01 2023-03-07 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法

Country Status (5)

Country Link
US (4) US10629451B1 (ja)
JP (2) JP7241894B2 (ja)
KR (2) KR20230135156A (ja)
TW (3) TWI822631B (ja)
WO (1) WO2020160437A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11915933B2 (en) 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
US20240128089A1 (en) * 2022-10-18 2024-04-18 Tokyo Electron Limited Method to selectively etch silicon nitride to silicon oxide using water crystallization

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068462A (ja) 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
JP2010509776A (ja) 2006-11-10 2010-03-25 ラム リサーチ コーポレーション 除去可能なスペーサ
US20150187660A1 (en) 2013-12-30 2015-07-02 Globalfoundries Inc. Balancing asymmetric spacers
US20160233335A1 (en) 2015-02-05 2016-08-11 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
JP2017516318A (ja) 2014-05-02 2017-06-15 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation エッチプロセス装置の運転方法
US20180102253A1 (en) 2016-10-11 2018-04-12 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW486733B (en) 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
CN101816064B (zh) * 2007-10-05 2013-02-27 积水化学工业株式会社 硅的蚀刻方法
US20110068086A1 (en) 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method
TW201007885A (en) * 2008-07-18 2010-02-16 Nec Electronics Corp Manufacturing method of semiconductor device, and semiconductor device
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US9257293B2 (en) 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US9287279B2 (en) * 2014-03-26 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon nitride (SiN) encapsulating layer for silicon nanocrystal memory storage
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10217681B1 (en) * 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
KR101731792B1 (ko) * 2015-10-08 2017-05-02 주식회사 테스 실리콘나이트라이드막의 건식식각방법
JP6613207B2 (ja) * 2015-11-13 2019-11-27 東京エレクトロン株式会社 被処理体をエッチングする方法
WO2017160647A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
US10373828B2 (en) * 2016-05-29 2019-08-06 Tokyo Electron Limited Method of sidewall image transfer
JP7008918B2 (ja) * 2016-05-29 2022-01-25 東京エレクトロン株式会社 選択的窒化シリコンエッチングの方法
KR20190038945A (ko) 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
FR3065576B1 (fr) * 2017-04-25 2020-01-24 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de gravure d'une couche a base de sin
CN109962041B (zh) * 2017-12-26 2023-10-24 意法半导体有限公司 具有光保护的环境光传感器
US10658316B2 (en) * 2018-10-02 2020-05-19 Globalfoundries Singapore Pte. Ltd. Bond pad reliability of semiconductor devices
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068462A (ja) 1999-07-20 2001-03-16 Samsung Electronics Co Ltd 選択的ポリマー蒸着を用いたプラズマエッチング方法及びこれを用いたコンタクトホール形成方法
JP2010509776A (ja) 2006-11-10 2010-03-25 ラム リサーチ コーポレーション 除去可能なスペーサ
US20150187660A1 (en) 2013-12-30 2015-07-02 Globalfoundries Inc. Balancing asymmetric spacers
JP2017516318A (ja) 2014-05-02 2017-06-15 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation エッチプロセス装置の運転方法
US20160233335A1 (en) 2015-02-05 2016-08-11 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
US20180102253A1 (en) 2016-10-11 2018-04-12 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence

Also Published As

Publication number Publication date
TW202211297A (zh) 2022-03-16
TW202403840A (zh) 2024-01-16
US11469110B2 (en) 2022-10-11
KR20210110750A (ko) 2021-09-08
KR102580483B1 (ko) 2023-09-19
TWI822631B (zh) 2023-11-11
TW202331802A (zh) 2023-08-01
US20240112920A1 (en) 2024-04-04
US11837474B2 (en) 2023-12-05
TWI749453B (zh) 2021-12-11
WO2020160437A1 (en) 2020-08-06
KR20230135156A (ko) 2023-09-22
US10629451B1 (en) 2020-04-21
US20200251346A1 (en) 2020-08-06
JP2023065655A (ja) 2023-05-12
TWI797841B (zh) 2023-04-01
JP2022524928A (ja) 2022-05-11
TW202036665A (zh) 2020-10-01
US20230026743A1 (en) 2023-01-26

Similar Documents

Publication Publication Date Title
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
JP7241894B2 (ja) 窒化ケイ素スペーサーの選択的エッチング中の形状制御を改善する方法
JP6527214B2 (ja) エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法
CN107924842B (zh) 用于蚀刻半导体结构的含氮化合物
WO2018126202A1 (en) Methods for minimizing sidewall damage during low k etch processes
JP2023531687A (ja) 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物
CN110571150B (zh) 高深宽比开口的刻蚀方法及半导体器件
KR20240074901A (ko) 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
KR20240074902A (ko) 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
US20240162042A1 (en) Etching methods with alternating non-plasma and plasma etching processes
WO2023069410A1 (en) Etching methods using silicon-containing hydrofluorocarbons
KR20240074888A (ko) 규소-함유 히드로플루오로카본을 사용한 에칭 방법
TW202331840A (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物
CN117242547A (zh) 含碳材料的循环等离子体刻蚀
Um et al. The etching characteristics of Al2O3 thin films in an inductively coupled plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210928

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20211001

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20211004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230307

R150 Certificate of patent or registration of utility model

Ref document number: 7241894

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150