KR20190038945A - 실리콘 질화물의 준원자 층 에칭 방법 - Google Patents
실리콘 질화물의 준원자 층 에칭 방법 Download PDFInfo
- Publication number
- KR20190038945A KR20190038945A KR1020197008734A KR20197008734A KR20190038945A KR 20190038945 A KR20190038945 A KR 20190038945A KR 1020197008734 A KR1020197008734 A KR 1020197008734A KR 20197008734 A KR20197008734 A KR 20197008734A KR 20190038945 A KR20190038945 A KR 20190038945A
- Authority
- KR
- South Korea
- Prior art keywords
- plasma
- process gas
- substrate
- chemical mixture
- silicon nitride
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 97
- 238000005530 etching Methods 0.000 title claims abstract description 35
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 title claims abstract description 32
- 229910052581 Si3N4 Inorganic materials 0.000 title claims abstract description 31
- 239000000758 substrate Substances 0.000 claims abstract description 53
- 239000000463 material Substances 0.000 claims abstract description 51
- 230000008569 process Effects 0.000 claims abstract description 49
- 239000007789 gas Substances 0.000 claims abstract description 36
- 239000000203 mixture Substances 0.000 claims abstract description 26
- 239000000126 substance Substances 0.000 claims abstract description 26
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 5
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 5
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 5
- 239000011261 inert gas Substances 0.000 claims abstract description 4
- 125000006850 spacer group Chemical group 0.000 claims description 15
- 239000001257 hydrogen Substances 0.000 claims description 14
- 229910052739 hydrogen Inorganic materials 0.000 claims description 14
- 238000000059 patterning Methods 0.000 claims description 13
- 150000002500 ions Chemical class 0.000 claims description 7
- 230000005284 excitation Effects 0.000 claims description 5
- 230000004907 flux Effects 0.000 claims description 5
- -1 hydrogen ions Chemical class 0.000 claims description 5
- 239000011368 organic material Substances 0.000 claims description 5
- 230000001939 inductive effect Effects 0.000 claims description 4
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 2
- 238000009616 inductively coupled plasma Methods 0.000 claims description 2
- 238000003672 processing method Methods 0.000 claims 1
- 239000010410 layer Substances 0.000 description 28
- 238000004519 manufacturing process Methods 0.000 description 17
- 239000010408 film Substances 0.000 description 14
- 239000004065 semiconductor Substances 0.000 description 13
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 230000008878 coupling Effects 0.000 description 9
- 238000010168 coupling process Methods 0.000 description 9
- 238000005859 coupling reaction Methods 0.000 description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- 239000010409 thin film Substances 0.000 description 5
- 150000002431 hydrogen Chemical class 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- 238000013459 approach Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 229910000314 transition metal oxide Inorganic materials 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
- C23C16/0245—Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B21/00—Nitrogen; Compounds thereof
- C01B21/06—Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
- C01B21/068—Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
Abstract
에칭 방법이 기재된다. 방법은, 실리콘 질화물을 함유하는 제1 재료 및 제1 재료와 상이한 제2 재료를 갖는 기판을 제공하는 단계, H 및 선택적으로 비활성 가스를 함유하는 제1 프로세스 가스의 플라즈마-여기에 의해 제1 화학 혼합물을 형성하는 단계, 및 기판 상의 제1 재료를 제1 화학 혼합물에 노출시키는 단계를 포함한다. 그 후에, N, F, O 및 선택적으로 비활성 원소를 함유하는 제2 프로세스 가스의 플라즈마-여기에 의해 제2 화학 혼합물을 형성하는 단계, 및 제2 재료에 대해 제1 재료를 선택적으로 에칭하도록 기판 상의 제1 재료를 제2 플라즈마-여기된 프로세스 가스에 노출시키는 단계를 포함한다.
Description
본 출원은, 2016년 8월 29일 출원되어 발명의 명칭이 “METHOD OF QUASI-ATOMIC LAYER ETCHING OF SILICON NITRIDE”인 미국 가특허 출원 번호 제62/380,567호의 우선권을 주장하며, 이는 그 전체가 참조에 의해 여기에 포함된다.
본 발명은 에칭을 위한 방법에 관한 것으로, 보다 상세하게는 전자 디바이스 응용을 위해 박막을 에칭하기 위한 정밀 에칭 기술에 관한 것이다.
본 발명은 집적 회로와 집적 회로용 트랜지스터 및 트랜지스터 컴포넌트와 같은 반도체 디바이스를 제조하는 방법에 관한 것이다. 반도체 디바이스의 제조에 있어서(특히, 미시적 규모로), 성막 퇴적, 에칭 마스크 생성, 패터닝, 재료 에칭 및 제거, 및 도핑 트리트먼트와 같은 다양한 제조 프로세스가 실행되고, 기판 상에 원하는 반도체 디바이스 요소를 형성하도록 반복적으로 수행된다. 역사적으로, 마이크로제조를 이용해, 트랜지스터들은 위에 배선/금속화가 형성되어 있는 하나의 평면에 생성되었고, 따라서 2차원(2D) 회로 또는 2D 제조로 특징지어졌다. 스케일링 노력으로 2D 회로에서 단위 면적당 트랜지스터의 수를 크게 증가시켰지만, 스케일링이 한자릿수 나노미터 반도체 디바이스 제조 노드로 진입함에 따라 스케일링 노력이 더욱 큰 난제에 부딪히고 있다. 반도체 디바이스 제조자들은 트랜지스터들이 서로의 상면 상에 적층되는 3차원(3D) 반도체 디바이스에 대한 요구를 표명하였다.
디바이스 구조물들이 치밀화되고 수직으로 발전함에 따라, 정밀 재료 에칭에 대한 필요성이 점점 더 강해지고 있다. 플라즈마 에칭 프로세스에 있어서 선택도, 프로파일, ARDE(aspect ratio dependent etching), 및 균일도 간의 상충관계(trade-off)가 관리하기가 어려워지지고 있다. 이들 상충관계의 균형을 맞춤으로써 패터닝 및 패턴 전사에 대한 현행 접근법은 지속가능하지 않다. 이들 상충관계에 대한 근본 원인은, 이온 에너지, 이온 플럭스, 및 라디칼 플럭스를 독립적으로 제어할 수 없다는 것에 있다. 그러나, 원자층 에칭(ALE; atomic layer etching)과 같은 자체 제한(self-limiting) 프로세스는, 에칭 프로세스를 표면 개질 및 개질된 표면 영역 제거의 순차적 단계들로 분리함으로써 이들 상충관계를 벗어나려는 실행가능한 경로를 제공하며, 그에 의해 라디칼 플럭스와 이온 플럭스 및 에너지의 역할의 구분을 가능하게 한다.
여기에서의 기술은 정밀 에칭 기술을 사용한 디바이스 제조에 관한 것이다.
에칭 방법이 기재된다. 방법은, 실리콘 질화물을 함유하는 제1 재료 및 제1 재료와 상이한 제2 재료를 갖는 기판을 제공하는 단계, H 및 선택적으로 비활성 가스를 함유하는 제1 프로세스 가스의 플라즈마-여기에 의해 제1 화학 혼합물을 형성하는 단계, 및 기판 상의 제1 재료를 제1 화학 혼합물에 노출시키는 단계를 포함한다. 그 후에, N, F, O 및 선택적으로 비활성 원소를 함유하는 제2 프로세스 가스의 플라즈마-여기에 의해 제2 화학 혼합물을 형성하는 단계, 및 제2 재료에 대해 제1 재료를 선택적으로 에칭하도록 기판 상의 제1 재료를 제2 플라즈마-여기된 프로세스 가스에 노출시키는 단계를 포함한다.
물론, 명확하게 하기 위해 본 명세서에 기재된 바와 다른 단계의 설명 순서가 제시되었다. 일반적으로, 이들 단계는 임의의 적합한 순서로 수행될 수 있다. 또한, 본 명세서에서의 다양한 특징, 기술, 구성 등의 각각이 본 개시의 상이한 곳에 설명되어 있을 수 있지만, 개념의 각각은 서로 독립적으로 또는 서로 결합하여 실행될 수 있는 것으로 의도된다. 따라서, 본 발명은 많은 다양한 방식들로 구현되고 보여질 수 있다.
이 요약 부분은 본 개시 또는 청구하는 발명의 모든 실시예 및/또는 점증적인 신규의 양상을 명시한 것은 아님을 유의하자. 대신, 이 요약은 단지 다양한 실시예들의 예비 설명 및 종래 기술 이상의 신규성의 대응점을 제공하는 것이다. 본 발명 및 실시예의 추가적인 세부사항 및/또는 가능한 관점에 대하여, 아래에 더 설명되는 바와 같은 본 개시의 상세한 설명 부분 및 대응하는 도면을 참조한다.
도 1은 실시예에 따라 기판 상의 박막을 에칭하는 방법의 개략도를 예시한다.
도 2는 실시예에 따라 기판을 에칭하는 방법을 예시한 흐름도를 제공한다.
도 3은 도 1 및 도 2에 도시된 에칭 방법을 사용하여 획득된 결과를 예시한다.
도 4 및 도 5는 도 1 및 도 2에 도시된 에칭 방법을 사용하여 획득된 추가의 결과를 예시한다.
도 6a 내지 도 6d는 도 1 및 도 2에 도시된 에칭 방법이 여러 실시예에 따라 적용될 수 있는 다양한 예시적인 제조 순서를 예시한다.
도 7a 및 도 7b는 또다른 실시예에 따라 기판 상의 박막을 에칭하는 방법의 개략도를 예시한다.
도 8은 또 다른 실시예에 따라 기판을 에칭하는 방법을 예시한 흐름도를 제공한다.
도 9a 내지 도 9d는 다양한 실시예에 따라 에칭 방법을 수행하기 위한 플라즈마 프로세싱 시스템의 개략도를 제공한다.
도 2는 실시예에 따라 기판을 에칭하는 방법을 예시한 흐름도를 제공한다.
도 3은 도 1 및 도 2에 도시된 에칭 방법을 사용하여 획득된 결과를 예시한다.
도 4 및 도 5는 도 1 및 도 2에 도시된 에칭 방법을 사용하여 획득된 추가의 결과를 예시한다.
도 6a 내지 도 6d는 도 1 및 도 2에 도시된 에칭 방법이 여러 실시예에 따라 적용될 수 있는 다양한 예시적인 제조 순서를 예시한다.
도 7a 및 도 7b는 또다른 실시예에 따라 기판 상의 박막을 에칭하는 방법의 개략도를 예시한다.
도 8은 또 다른 실시예에 따라 기판을 에칭하는 방법을 예시한 흐름도를 제공한다.
도 9a 내지 도 9d는 다양한 실시예에 따라 에칭 방법을 수행하기 위한 플라즈마 프로세싱 시스템의 개략도를 제공한다.
여기에서의 기술은 정밀 에칭 기술을 사용한 디바이스 제조에 관한 것이다. FEOL(front end of line, 예컨대, 트랜지스터 제조)에서 BEOL(back end of line, 예컨대, 상호접속부 제조)에 이르기까지 반도체 제조에 있어서 여러 사례가 나타나는데, 산화물 질화물 막(통상적으로 실리콘 함유, 본질적으로)은 높은 수준의 정밀도로 에칭되어야 한다.
반도체 제조에서 다수의 제조 순서들은 정밀 에칭 기술을 요구한다. 추후에 설명될 예는 다음을 포함한다: (1) 2D(2차원) 및 3D(3차원) 디바이스 구조물 둘 다에 대한 게이트 스페이서 에칭, (2) 멀티패터닝을 위해 측벽 이미지 전사(SIT; sidewall image transfer)를 위한 스페이서 에칭, (3) 스페이서 에칭-후(post-spacer etch) SIT 구조물로부터의 맨드릴(mandrel) 제거, 및 (4) 융기된 구조물(raised structure)로부터의 라이너 에칭.
또다른 예로서, 자가 정렬 블록(SAB; self-aligned block) 구조물의 제조가 자가 정렬 이중 패터닝(SADP; self-aligned double patterning), 자가 정렬 사중 패터닝(SAQP; self-aligned quadruple patterning), 및 자가 정렬 다중 패터닝(SAMP; self-aligned multiple patterning)의 기타 변형에서 결정적인 단계가 되었다. SAB 흐름의 일부로서, 실리콘 질화물 맨드릴은 산화물 스페이서에 대해 선택도를 가지고 이방성 에칭된다. 실리콘 질화물 맨드릴을 에칭하기 위한 현행 접근법은, 산화물 스페이서를 손상시키지 않고서 맨드릴을 에칭하도록 15를 넘는(즉, 실리콘 질화물의 에칭 속도가 실리콘 산화물의 에칭 속도보다 15배 더 큼), 필요한 선택도를 갖지 못한다.
본 발명은 SAB 제조 흐름을 가능하게 하기 위해 산화물 스페이서에 대해 매우 높은 선택도(예컨대, >15, 또는 >20, 또는 >30, 또는 >50, 또는 >80, 그리고 심지어는 >100)로 실리콘 질화물 맨드릴을 에칭할 수 있는 이방성 프로세스의 개발에 관한 것이다.
여러 실시예에 따라, 도 1 및 도 2는 박막의 에칭 방법을 예시한다. 흐름도(200)로서 도시된 방법은, 실리콘 질화물을 함유하는 제1 재료(100) 및 제1 재료(100)와 상이한 제2 재료(도시되지 않음)를 갖는 기판을 제공하고, 단계 210에서 H 및 선택적으로 비활성 가스를 함유하는 제1 프로세스 가스의 플라즈마-여기에 의해 제1 화학 혼합물(chemical mixture)을 형성하고, 단계 220에서 기판 상의 제1 재료를 제1 화학 혼합물에 노출시키는 것을 포함하며, 이들의 조합이 도 1에서 102로서 도시되어 있다. 그 후에, 방법은, 단계 230에서 N, F, O, 및 선택적으로 비활성 원소를 함유하는 제2 프로세스 가스의 플라즈마-여기에 의해 제2 화학 혼합물을 형성하고, 단계 240에서 제2 재료에 대해 제1 재료(100)를 선택적으로 에칭하도록 기판 상의 제1 재료(100)를 제2 플라즈마-여기된 프로세스 가스에 노출시키는 것을 포함하며, 이들의 조합이 도 1에서 104로서 도시되어 있다.
에칭될 제1 재료(100)는 Si3N4, 또는 보다 일반적으로 SixNy(x 및 y는 0보다 더 큰 실수임)로 표현되는 실리콘 질화물을 함유하거나, 본질적으로 실리콘 질화물로 구성되거나, 또는 실리콘 질화물로 구성된다. 제2 재료(도시되지 않음)는 실리콘 산화물, 예컨대, SiO2 또는 다른 실리콘 함유 재료, 금속 또는 금속 함유 재료, 또는 OPL(organic planarization layer), 레지스트, 또는 반사방지 코팅(ARC; antireflective coating)과 같은 유기 재료를 포함할 수 있다.
상기에 서술된 바와 같이, 제1 화학 혼합물은 제1 프로세스 가스의 플라즈마 여기로부터 형성된다. 제1 프로세스 가스는 수소(H)를 함유하고, 원자 수소(H), 분자 수소(H2), 준안정(matastable) 수소, 수소 라디칼, 또는 수소 이온, 또는 이들 중 둘 이상의 임의의 조합을 포함할 수 있다. 하나의 실시예에서, 제1 프로세스 가스는 H2, 또는 H2 및 Ar을 포함한다. 또다른 실시예에서, 제1 프로세스 가스는 본질적으로 H2로 구성되거나, 또는 H2로 구성된다. 또 다른 실시예에서, 제1 프로세스 가스는 본질적으로 H2 및 Ar로 구성되거나, 또는 H2 및 Ar로 구성된다.
상기에 또한 서술된 바와 같이, 제2 화학 혼합물은 제2 프로세스 가스의 플라즈마 여기로부터 형성된다. 제2 프로세스 가스는 질소(N), 불소(F), 및 산소(O)를 함유하고, 선택적으로 Ar(아르곤)과 같은 비활성 원소를 포함할 수 있다. 하나의 실시예에서, 제2 프로세스 가스는 NF3, O2, 및 Ar을 포함한다. 또다른 실시예에서, 제2 프로세스 가스는 본질적으로 NF3, O2, 및 Ar로 구성되거나, 또는 NF3, O2, 및 Ar로 구성된다.
제1 프로세스 및/또는 제2 프로세스 가스의 플라즈마-여기는 인시추로(in-situ)(즉, 제1 및/또는 제2 화학 혼합물은 기판과 근접하게 접하는 기체상 진공 환경 내에서 형성됨) 또는 엑스시추로(ex-situ)(즉, 제1 및/또는 제2 화학 혼합물은 기판에 대하여 원격으로 위치된 기체상 진공 환경 내에서 형성됨) 수행될 수 있다. 도 9a 내지 도 9d는 프로세스 가스의 플라즈마-여기를 용이하게 하도록 사용될 수 있는 여러 플라즈마 생성 시스템을 제공한다. 도 9a는 용량 결합 플라즈마(CCP; capacitively coupled plasma) 시스템을 예시하며, 플라즈마는 상부 평판 전극(UEL; upper plate electrode)과 하부 평판 전극(LEL; lower plate electrode) 사이의 기판 부근에 형성되고, 하부 전극은 또한 기판을 지지하고 유지하기 위한 정전 척(ESC; electrostatic chuck)으로도 작용한다. 플라즈마는 무선 주파수(RF; radio frequency) 전력을 전극 중의 적어도 하나에 결합시킴으로써 형성된다. 도 9a에 도시된 바와 같이, RF 전력은 상부 전극과 하부 전극 둘 다에 결합되고, 전력 결합은 상이한 RF 주파수를 포함할 수 있다. 대안으로서, 복수의 RF 전원이 동일 전극에 결합될 수 있다. 또한, 직류(DC) 전력이 상부 전극에 결합될 수 있다.
도 9b는 유도 결합 플라즈마(ICP; inductively coupled plasma) 시스템을 예시하며, 플라즈마는 유도성 소자(inductive element)(예컨대, 평면, 또는 솔레노이드/헬리컬 코일)와 하부 평판 전극(LEL) 사이의 기판 부근에 형성되고, 하부 전극은 또한 기판을 지지하고 유지하기 위한 정전 척(ESC)으로도 작용한다. 플라즈마는 무선 주파수(RF) 전력을 유도성 결합 소자에 결합시킴으로써 형성된다. 도 9b에 도시된 바와 같이, RF 전력은 유도성 소자와 하부 전극 둘 다에 결합되고, 전력 결합은 상이한 RF 주파수를 포함할 수 있다.
도 9c는 표면파 플라즈마(SWP; surface wave plasma) 시스템을 예시하며, 플라즈마는 슬롯형 평면 안테나(slotted plane antenna)와 하부 평판 전극(LEL) 사이의 기판 부근에 형성되고, 하부 전극은 또한 기판을 지지하고 유지하기 위한 정전 척(ESC)으로도 작용한다. 플라즈마는 도파관 및 동축선을 통해 마이크로파 주파수의 무선 주파수(RF) 전력을 슬롯형 평면 안테나에 결합시킴으로써 형성된다. 도 9c에 도시된 바와 같이, RF 전력은 슬롯형 평면 안테나와 하부 전극 둘 다에 결합되고, 전력 결합은 상이한 RF 주파수를 포함할 수 있다.
도 9d는 원격 플라즈마 시스템을 예시하며, 플라즈마는 원격 플라즈마 소스로부터 기판 부근의 프로세싱 영역으로 대전 입자의 수송을 지연시키도록 배열된 필터에 의해 기판으로부터 원격이며 기판으로부터 분리된 영역에서 형성된다. 기판은 하부 평판 전극(LEL)에 의해 지지되며, LEL은 기판을 유지하도록 정전 척(ESC)으로도 작용한다. 플라즈마는 원격으로 위치된 영역에 인접한 플라즈마 생성 디바이스에 무선 주파수(RF) 전력을 결합시킴으로써 형성된다. 도 9d에 도시된 바와 같이, RF 전력은 원격 영역에 인접한 플라즈마 생성 디바이스와 하부 전극 둘 다에 결합되고, 전력 결합은 상이한 RF 주파수를 포함할 수 있다.
도 9a 내지 도 9d의 플라즈마 프로세싱 시스템은 기재되는 스텝형 이온/라디칼 프로세스를 구현하기 위한 다양한 기술을 예시하고자 하는 것이다. 기재된 시스템들의 조합과 변형 전부 포함하는 다른 실시예도 고려해볼 수 있다.
이제 도 3 및 표 1로 가면, 화학적 기상 증착(CVD; chemical vapor deposition)에 의해 퇴적된 실리콘 질화물 막(CVD Sin)이 인접한 실리콘 산화물 막과 함께 여러 에칭 프로세스에 노출된다. 제1 예에서, 표 1에 제공된 조건에 따라, 2개의 막은 수소(H2) 플라즈마에만 노출된다. 이 이온 구동형 수소 플라즈마에서는, 2개의 막은 에칭되지 않고 막 사이에 선택도는 관찰되지 않는다. 제2 예에서, 2개의 막은 NF3 및 O2로 구성된 플라즈마에 노출된다. 이 라디칼 구동형 플라즈마에서는, 실리콘 질화물 막으로부터 11 옹스트롬이 에칭되고, 실리콘 산화물 막으로부터 1 옹스트롬만 에칭되며, 따라서 11:1의 에칭 선택도가 된다. 제3 예에서, 2개의 막은 순차적으로 수소(H2) 플라즈마에 노출된 다음, NF3 및 O2로 구성된 플라즈마에 노출된다. 이 라디칼 및 이온 구동형 순차적 플라즈마에서는, 실리콘 질화물 막으로부터 61 옹스트롬이 에칭되고, 실리콘 산화물 막에서는 실질적으로 어떠한 에칭도 관찰되지 않으며, 따라서 60:1를 넘는 에칭 선택도가 된다.
본 발명자들은, 수소 플라즈마 단계 동안 수소 이온이 실리콘 질화물 및 실리콘 산화물의 표면 영역을 풍부하게 하며, 표면 하의(sub-surface) 수소 농도를 상승시키게 된다고 추측한다: 도 4 및 도 5 참조. 도 5에 도시된 바와 같이, 수소 함량은 영역 1(고농도 개질된 표면 하의 영역)에서 최대로 증가하고, 그 다음 영역 2(중간 개질된 표면 하의 영역)에서 중간 농도 레벨을 통해 감소하고, 이어서 영역 3(원래 또는 오리지널 재료)에서 저 레벨로 감소한다. 그 다음, NF3 및 O2 플라즈마는 라디칼을 생성하며, 라디칼은 수소화된 실리콘 질화물과 선택적으로 반응하여 제2 재료, 예컨대 실리콘 산화물 또는 유기 재료의 경우보다 더 큰 속도로 휘발한다. 도 3은 각각의 예시적인 프로세스로 달성된 에칭 양을 예시한다. 그리고 도 4에 도시된 바와 같이, 에칭이 표면 하의 영역을 통해 비교적 높은 수소 농도로부터 비교적 낮은 수소 농도로 진행함에 따라, NF3 및 O2 단계 동안 달성된 에칭 양은 감소한다(또는 에칭 속도 감소).
도 6a 내지 도 6d에서, 정밀 에칭 기술을 요구하는 반도체 제조에 있어서 제조 순서들의 여러 예들이 제공된다. 각각의 예에서, 다른 재료에 대해 높은 선택도로 실리콘 질화물을 제거하는 것이 필요하며, 예는 다음을 포함한다: (1) 2D(2차원) 및 3D(3차원) 디바이스 구조물 둘 다에 대한 게이트 스페이서 에칭, (2) 멀티패터닝을 위해 측벽 이미지 전사(SIT)를 위한 스페이서 에칭, (3) 스페이서 에칭-후 SIT 구조물로부터의 맨드릴 제거, 및 (4) 융기된 구조물로부터의 라이너 에칭. 도 6a는 게이트 구조물(610)의 캡 영역으로부터 실리콘 질화물(615)을 선택적으로 제거하는 것을 예시한다. 도 6b는 자가 정렬 다중 패터닝(SAMP) 방식에서 이용되는 맨드릴(620)을 둘러싸는 캡 영역 및 푸터 영역으로부터 실리콘 질화물(625)을 선택적으로 제거하는 것을 예시한다. 도 6c는 이중 패터닝 스페이서 구조물을 남기도록 스페이서 에칭-후 구조물(630)로부터 실리콘 질화물 맨드릴(635)을 선택적으로 제거하는 것을 예시한다. 도 6d는 융기된 특징부(640)를 남기도록 실리콘 질화물 라이너(645)를 선택적으로 제거하는 것을 예시한다.
또 다른 실시예에서, 자가 정렬 이중 패터닝(SADP), 자가 정렬 사중 패터닝(SAQP), 및 자가 정렬 다중 패터닝(SAMP)의 기타 변형에서 자가 정렬 블록(SAB) 구조물의 제조가 결정적인 단계가 되었다. SAB 흐름의 일부로서, 실리콘 질화물 맨드릴은 산화물 스페이서에 대한 선택도를 가지고 이방성 에칭된다. 실리콘 질화물 맨드릴을 에칭하기 위한 현행 접근법은, 산화물 스페이서를 손상시키지 않고서 맨드릴을 에칭하도록 15를 넘는(즉, 실리콘 질화물의 에칭 속도가 실리콘 산화물의 에칭 속도보다 15배 더 큼), 필요한 선택도를 갖지 못한다.
도 7a에 도시된 바와 같이, 기판(700)은 에칭 또는 패터닝될 하나 이상의 선택적 층(712, 714, 716)을 포함하는 막 스택(710) 위의 패터닝된 층(720)을 포함할 수 있다. 패터닝된 층(720)은 하나 이상의 추가의 층 위의 개방 특징부 패턴을 정의할 수 있다. 기판(700)은 디바이스 층을 더 포함한다. 디바이스 층은 패턴이 전사되거나 또는 타겟 재료가 제거되어야 할 워크피스 상의 임의의 박막 또는 구조물을 포함할 수 있다. 또한, 패터닝된 층(720)은 유지 층(722) 및 제거될 타겟 층(724)을 포함할 수 있다.
타겟 층(724)은 실리콘 질화물로 구성될 수 있다. 도 7a에 도시된 바와 같이, 타겟 층(724)은 유지 층(722) 내의 트렌치 또는 비아(725)를 채우며, 트렌치 또는 비아(725)는 깊이(D)(727), 폭(W)(726), 및 종횡비(D/W)를 갖는다. 종횡비는 3, 4, 또는 5보다 더 클 수 있다. 일부 구조물에 대하여, 종횡비는 10, 15, 또는 심지어 20보다 더 클 수 있다. 폭(W)(726)은 50 nm, 40 nm, 30 nm, 또는 20 nm보다 더 작을 수 있다. 일부 응용에서, 폭(W)(726)은 10 nm보다 더 작다. 유지 층(722)은 실리콘 산화물(SiOx), 실리콘 산질화물(SiOxNy), 전이 금속 산화물(예컨대, 티타늄 산화물(TiOx)), 전이 금속 질화물(예컨대, 티타늄 질화물(TiNy)), 및 15 중량% 내지 50 중량% 실리콘 범위의 실리콘 함량을 갖는 실리콘 함유 유기 재료로 구성된 그룹으로부터 선택된 재료로 구성될 수 있다.
예로서, 도 7a에서의 패터닝된 층(720)은 다중 패터닝 방식에서 사용되는 맨드릴 층을 둘러싸는 스페이서 층을 포함할 수 있다. 대안으로서, 예를 들어, 도 1a의 패터닝된 층(720)은 금속 게이트 구조물과 같은 진보된 게이트 구조물로 교체될 영역을 채우는 더미 실리콘 질화물 층을 포함할 수 있다.
기판(700)은 벌크 실리콘 기판, 단결정 실리콘(도핑 또는 미도핑) 기판, SOI(semiconductor-on-insulator) 기판, 또는 예를 들어 Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP 뿐만 아니라 다른 III/V 또는 II/VI 화합물 반도체를 함유한 임의의 기타 반도체 기판, 또는 이들의 임의의 조합을 포함할 수 있다(II, III, V, VI 족은 원소 주기율표에서의 고전 또는 구형 IUPAC 표기를 지칭하며, 개정된 또는 새로운 IUPAC 표기에 따르면, 이들 족은 각각 2, 13, 15, 16족을 지칭할 것임). 기판(700)은 임의의 크기로 이루어질 수 있으며, 예를 들어 200 mm(밀리미터) 기판, 300 mm 기판, 450 mm 기판, 또는 그보다도 더 큰 기판일 수 있다. 디바이스 층은 패턴이 전사될 수 있는 임의의 막 또는 디바이스 구조물을 포함할 수 있다.
유기 층(721)은 기판(700)의 다양한 영역을 덮으며, 높은 종횡비 특징부로부터 실리콘 질화물 맨드릴이 제거되어야 할 블록 영역을 노출시킨다. 도 7b에서, 실리콘 질화물 맨드릴(714)은 실리콘 산화물 스페이서 및 유기 충전 층(721)에 최소한의 영향을 미치며 선택적으로 제거된다.
도 8은 또다른 실시예에 따라 기판을 에칭하기 위한 흐름도(800)를 제공한다. 810에서, 자가 정렬 블록(SAB) 구조물이 준비된다. 그리고 820에서, 맨드릴이 SAB 구조물의 노출된 영역으로부터 제거된다. 도 2는 실리콘 산화물 스페이서를 남기도록 높은 종횡비 특징부로부터 실리콘 질화물 맨드릴을 선택적으로 에칭하는 방법을 도시한다. 종횡비는 10을 넘을 수 있고, 다른 재료, 예컨대 실리콘 산화물 및 유기 재료에 대해 실리콘 질화물 맨드릴을 제거하기 위한 에칭 선택도는 20:1, 또는 50:1, 또는 심지어 100:1을 넘을 수 있다.
아래의 청구항에서, 임의의 종속 한정은 독립 청구항 중 어느 하나에 의존할 수 있다.
앞의 설명에서, 프로세싱 시스템의 특정 기하학 및 여기에 사용된 다양한 컴포넌트 및 프로세스의 기재와 같은 구체적인 세부사항들이 서술되었다. 그러나, 여기에서의 기술은 이들 구체적인 세부사항에서 벗어난 다른 실시예에서 실시될 수 있고, 이러한 세부사항은 설명을 위한 목적인 것이며 한정하는 것이 아님을 이해하여야 한다. 여기에 개시된 실시예는 첨부 도면을 참조하여 기재되었다. 마찬가지로, 설명을 위한 목적으로, 완전한 이해를 제공하기 위해 특정 수치, 재료 및 구성이 서술되었다. 그러나, 실시예는 이러한 구체적인 세부사항 없이도 실시될 수 있다. 실질적으로 동일한 기능 구성을 갖는 컴포넌트는 유사한 참조 문자로 표시되고, 따라서 임의의 중복 기재가 생략되었을 수 있다.
다양한 실시예를 이해하는 것을 돕도록 다양한 기술들이 복수의 개별 동작들로서 기재되었다. 기재의 순서는 이 동작들이 반드시 순서에 따라야 함을 의미하는 것으로 해석되어서는 안 된다. 실제로, 이들 동작은 제시된 순서대로 수행될 필요가 없다. 기재된 동작들은 기재된 실시예와 상이한 순서로 수행될 수 있다. 추가의 실시예에서 다양한 추가의 동작이 수행될 수 있고 그리고/또는 기재된 동작들이 생략될 수도 있다.
여기에서 사용될 때에 “기판” 또는 “타겟 기판”은 일반적으로 본 발명에 따라 처리되고 있는 객체를 지칭한다. 기판은 디바이스, 구체적으로 반도체 또는 기타 전자 디바이스의 임의의 재료 부분 또는 구조물을 포함할 수 있고, 예를 들어 반도체 웨이퍼, 레티클과 같은 베이스 기판 구조물이거나, 박막과 같이 베이스 기판 구조물 위에 있거나 이를 덮는 층일 수 있다. 따라서, 기판은 임의의 특정 베이스 구조물, 하부층 또는 상부층, 패터닝된 또는 패터닝되지 않은 것에 한정되지 않으며, 오히려 임의의 이러한 층 또는 베이스 구조물, 그리고 층 및/또는 베이스 구조물의 임의의 조합을 포함하는 것을 고려할 수 있다. 기재는 기판의 특정 유형을 참조할 수 있지만, 이는 단지 설명을 위한 목적인 것이다.
당해 기술 분야에서의 숙련자는 또한, 본 발명의 동일 목적을 여전히 달성하면서 상기에 설명된 기술의 동작에 많은 변형이 행해질 수 있다는 것을 이해할 것이다. 이러한 변형은 본 개시의 범위 내에 포함되는 것으로 의도된다. 그리하여, 본 발명의 실시예의 전술한 기재는 한정하는 것으로 의도되지 않는다. 오히려 본 발명의 실시예에 대한 임의의 한정이 다음 청구항에서 제시된다.
Claims (19)
- 에칭 방법에 있어서,
실리콘 질화물을 함유하는 제1 재료 및 상기 제1 재료와 상이한 제2 재료를 갖는 기판을 제공하는 단계;
H 및 선택적으로 비활성 가스를 함유하는 제1 프로세스 가스의 플라즈마-여기에 의해 제1 화학 혼합물(chemical mixture)을 형성하는 단계;
상기 기판 상의 상기 제1 재료를 상기 제1 화학 혼합물에 노출시키는 단계;
N, F, O 및 선택적으로 비활성 원소를 함유하는 제2 프로세스 가스의 플라즈마-여기에 의해 제2 화학 혼합물을 형성하는 단계; 및
상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판 상의 상기 제1 재료를 상기 제2 플라즈마-여기된 프로세스 가스에 노출시키는 단계를 포함하는 에칭 방법. - 청구항 1에 있어서, 상기 제1 프로세스 가스는 H2를 함유하는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 프로세스 가스는 H2로 구성되는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 프로세스 가스는 H2 및 Ar로 구성되는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제2 프로세스 가스는 NF3, O2 및 Ar을 함유하는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제2 프로세스 가스는 NF3, O2 및 Ar로 구성되는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 화학 혼합물은 수소 이온을 함유하는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제2 화학 혼합물은 실질적으로 전하-중성 종(charge-neutral species)을 함유하는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제2 재료는 SiO2 및 유기 재료로 구성된 그룹으로부터 선택되는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 재료는 상기 기판 상의 융기된 특징부(raised feature)를 포함하고, 상기 제2 재료는 상기 융기된 특징부의 수직 부분에 측벽 스페이서를 형성하고, 상기 노출시키는 단계는 상기 제1 재료의 융기된 특징부를 제거하지만 상기 측벽 스페이서는 제거하지 않는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 프로세스 가스 또는 상기 제2 프로세스 가스의 플라즈마 여기는, 상부 평판 전극 및 상기 기판을 지지하는 하부 평판 전극을 포함하는 용량 결합 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함하는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 프로세스 가스 또는 상기 제2 프로세스 가스의 플라즈마 여기는, 유도성 소자(inductive element) 및 상기 기판을 지지하는 하부 평판 전극을 포함하는 유도 결합 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함하는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 프로세스 가스 또는 상기 제2 프로세스 가스의 플라즈마 여기는, 높은 라디칼 대 이온 플럭스(radical to ion flux)
비를 생성하는 원격 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함하는 것인 에칭 방법. - 청구항 1에 있어서,
상기 제1 재료의 추가 부분을 점진적으로 제거하도록, 상기 제1 화학 혼합물을 형성하는 단계, 상기 제1 재료를 상기 제1 화학 혼합물에 노출시키는 단계, 상기 제2 화학 혼합물을 형성하는 단계, 및 상기 제1 재료를 상기 제2 화학 혼합물에 노출시키는 단계를 반복하는 단계를 더 포함하는 에칭 방법. - 청구항 1에 있어서, 상기 제1 재료는 상기 제2 재료에 대해 100:1보다 더 큰에칭 선택도로 제거되는 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 재료는 게이트 구조물 위에 컨포멀하게(conformally) 적용된 스페이서 층의 일부인 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 재료는 자가 정렬 다중 패터닝(SAMP; self-aligned multi-patterning) 프로세스에서 맨드릴(mandrel) 위에 컨포멀하게 적용된 스페이서 층의 일부인 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 재료는 자가 정렬 다중 패터닝(SAMP) 프로세스에서 맨드릴인 것인 에칭 방법.
- 청구항 1에 있어서, 상기 제1 재료는 융기된 특징부 상의 라이너 또는 리세싱된 특징부(recessed feature) 내의 라이너인 것인 에칭 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662380567P | 2016-08-29 | 2016-08-29 | |
US62/380,567 | 2016-08-29 | ||
PCT/US2017/048600 WO2018044713A1 (en) | 2016-08-29 | 2017-08-25 | Method of quasi-atomic layer etching of silicon nitride |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20190038945A true KR20190038945A (ko) | 2019-04-09 |
Family
ID=61243377
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020197008734A KR20190038945A (ko) | 2016-08-29 | 2017-08-25 | 실리콘 질화물의 준원자 층 에칭 방법 |
Country Status (4)
Country | Link |
---|---|
US (3) | US10312102B2 (ko) |
KR (1) | KR20190038945A (ko) |
TW (1) | TWI784967B (ko) |
WO (1) | WO2018044713A1 (ko) |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10381235B2 (en) * | 2016-05-29 | 2019-08-13 | Tokyo Electron Limited | Method of selective silicon nitride etching |
US10607852B2 (en) * | 2017-09-13 | 2020-03-31 | Tokyo Electron Limited | Selective nitride etching method for self-aligned multiple patterning |
US10658192B2 (en) * | 2017-09-13 | 2020-05-19 | Tokyo Electron Limited | Selective oxide etching method for self-aligned multiple patterning |
US11244856B2 (en) * | 2017-09-28 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and equipment for forming gaps in a material layer |
US10629451B1 (en) | 2019-02-01 | 2020-04-21 | American Air Liquide, Inc. | Method to improve profile control during selective etching of silicon nitride spacers |
US11195718B2 (en) | 2019-07-03 | 2021-12-07 | Beijing E-town Semiconductor Technology Co., Ltd. | Spacer open process by dual plasma |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE3420347A1 (de) * | 1983-06-01 | 1984-12-06 | Hitachi, Ltd., Tokio/Tokyo | Gas und verfahren zum selektiven aetzen von siliciumnitrid |
KR100267418B1 (ko) * | 1995-12-28 | 2000-10-16 | 엔도 마코토 | 플라스마처리방법및플라스마처리장치 |
US20020003126A1 (en) * | 1999-04-13 | 2002-01-10 | Ajay Kumar | Method of etching silicon nitride |
US6756313B2 (en) | 2002-05-02 | 2004-06-29 | Jinhan Choi | Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber |
US20090047447A1 (en) * | 2005-08-02 | 2009-02-19 | Sawin Herbert H | Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor |
US7399646B2 (en) * | 2005-08-23 | 2008-07-15 | International Business Machines Corporation | Magnetic devices and techniques for formation thereof |
KR100829606B1 (ko) | 2006-09-07 | 2008-05-14 | 삼성전자주식회사 | 미세 패턴의 형성 방법 |
US7666578B2 (en) | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
US7977249B1 (en) | 2007-03-07 | 2011-07-12 | Novellus Systems, Inc. | Methods for removing silicon nitride and other materials during fabrication of contacts |
US8008095B2 (en) * | 2007-10-03 | 2011-08-30 | International Business Machines Corporation | Methods for fabricating contacts to pillar structures in integrated circuits |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
KR101422155B1 (ko) * | 2010-02-01 | 2014-07-22 | 샌트랄 글래스 컴퍼니 리미티드 | 드라이 에칭제 및 그것을 사용한 드라이 에칭 방법 |
KR101430093B1 (ko) | 2010-03-04 | 2014-09-22 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치 |
US8268184B2 (en) * | 2010-06-29 | 2012-09-18 | Tokyo Electron Limited | Etch process for reducing silicon recess |
US20130045605A1 (en) * | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US9039911B2 (en) * | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8664125B2 (en) | 2011-12-23 | 2014-03-04 | Tokyo Electron Limited | Highly selective spacer etch process with reduced sidewall spacer slimming |
FR2993576B1 (fr) * | 2012-07-20 | 2018-05-18 | Nanoplas | Dispositif de traitement d'un objet par plasma |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
FR3000601B1 (fr) * | 2012-12-28 | 2016-12-09 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
US9257293B2 (en) * | 2013-03-14 | 2016-02-09 | Applied Materials, Inc. | Methods of forming silicon nitride spacers |
US8980758B1 (en) * | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
SG11201605356PA (en) * | 2013-12-30 | 2016-07-28 | Chemours Co Fc Llc | Chamber cleaning and semiconductor etching gases |
US9252243B2 (en) * | 2014-02-07 | 2016-02-02 | International Business Machines Corporation | Gate structure integration scheme for fin field effect transistors |
US9443769B2 (en) * | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9472414B2 (en) * | 2015-02-13 | 2016-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned multiple spacer patterning process |
US9443731B1 (en) | 2015-02-20 | 2016-09-13 | Tokyo Electron Limited | Material processing to achieve sub-10nm patterning |
US9911620B2 (en) * | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
FR3041471B1 (fr) * | 2015-09-18 | 2018-07-27 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de formation des espaceurs d'une grille d'un transistor |
US10381235B2 (en) * | 2016-05-29 | 2019-08-13 | Tokyo Electron Limited | Method of selective silicon nitride etching |
JP6958980B6 (ja) * | 2016-05-29 | 2021-12-22 | 東京エレクトロン株式会社 | 水素プラズマを用いたシリコン抽出方法 |
US10373828B2 (en) * | 2016-05-29 | 2019-08-06 | Tokyo Electron Limited | Method of sidewall image transfer |
FR3052911B1 (fr) * | 2016-06-20 | 2018-10-12 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de formation des espaceurs d'une grille d'un transistor |
US10319613B2 (en) * | 2016-12-13 | 2019-06-11 | Tokyo Electron Limited | Method of selectively etching first region made of silicon nitride against second region made of silicon oxide |
-
2017
- 2017-08-25 KR KR1020197008734A patent/KR20190038945A/ko not_active Application Discontinuation
- 2017-08-25 WO PCT/US2017/048600 patent/WO2018044713A1/en active Application Filing
- 2017-08-25 US US15/686,546 patent/US10312102B2/en active Active
- 2017-08-28 TW TW106129116A patent/TWI784967B/zh active
-
2018
- 2018-03-02 US US15/910,508 patent/US10304688B2/en active Active
-
2019
- 2019-02-28 US US16/288,334 patent/US10515814B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US10515814B2 (en) | 2019-12-24 |
US20180261462A1 (en) | 2018-09-13 |
TW201826377A (zh) | 2018-07-16 |
US10312102B2 (en) | 2019-06-04 |
WO2018044713A1 (en) | 2018-03-08 |
US20190252197A1 (en) | 2019-08-15 |
TWI784967B (zh) | 2022-12-01 |
US20180061653A1 (en) | 2018-03-01 |
US10304688B2 (en) | 2019-05-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20190038945A (ko) | 실리콘 질화물의 준원자 층 에칭 방법 | |
US10192743B2 (en) | Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures | |
US10535531B2 (en) | Method of cyclic plasma etching of organic film using carbon-based chemistry | |
US10541146B2 (en) | Method of cyclic plasma etching of organic film using sulfur-based chemistry | |
TWI761461B (zh) | 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法 | |
KR102412439B1 (ko) | 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법 | |
KR102594444B1 (ko) | 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법 | |
KR102537097B1 (ko) | 실리콘 질화물의 유사 원자층 에칭 방법 | |
CN108962742B (zh) | 半导体结构的制造方法 | |
KR102448699B1 (ko) | 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법 | |
WO2020051063A2 (en) | Surface modification process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E902 | Notification of reason for refusal | ||
E601 | Decision to refuse application |