KR100267418B1 - 플라스마처리방법및플라스마처리장치 - Google Patents

플라스마처리방법및플라스마처리장치 Download PDF

Info

Publication number
KR100267418B1
KR100267418B1 KR1019960072519A KR19960072519A KR100267418B1 KR 100267418 B1 KR100267418 B1 KR 100267418B1 KR 1019960072519 A KR1019960072519 A KR 1019960072519A KR 19960072519 A KR19960072519 A KR 19960072519A KR 100267418 B1 KR100267418 B1 KR 100267418B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
plasma
predetermined
gas atmosphere
Prior art date
Application number
KR1019960072519A
Other languages
English (en)
Other versions
KR970052776A (ko
Inventor
마사토 데라사키
가즈노리 즈츠구치
Original Assignee
엔도 마코토
고쿠사이 일렉트릭 콤파니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔도 마코토, 고쿠사이 일렉트릭 콤파니 리미티드 filed Critical 엔도 마코토
Publication of KR970052776A publication Critical patent/KR970052776A/ko
Application granted granted Critical
Publication of KR100267418B1 publication Critical patent/KR100267418B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 플라스마 처리종료후 의 기판반송미스나 절연파괴를 방지할수 있는 플라스마 처리방법을 제공하는 것을 목적으로 한다.
기판(10)을 기판재치대(44)상에 재치하고, 내측조(70)내를 배기하는 한편, 반응가스 도입관(37)으로부터 반응가스SiH4및 N2O를 내측조(70)내에 도입하여 내측조(70)내를 30∼100Pa의 압력으로 압력조절을 행하면서, 고주파전력을 인가하여 플라스마를 발생시켜서 기판(10)상에 SiO2막의 성막을 행한다. 성막에 필요한 시간이 경과하면, 고주파전력의 인가는 멈추고, 반응가스의 도입, 배기, 내측조(70)내의 압력조절은 계속한다. 이상태에서, 양극 히터(41)를 하강시키고, 기판승강 히터(52)를 상승시켜서 기판재치대(44)로부터 기판(10)을 들어올린다. 기판(10)이 들어올려진 후에, 반응가스의 공급 및 내측조(70)내의 압력조절을 멈추고, 내측조(70), 외측조(20)내를 고진공으로 한다. 그 후, 기판(10)을 기판반출구(23)로부터 반출한다.

Description

플라스마 처리방법 및 플라스마 처리장치
본 발명은 플라스마 처리방법 및 플라스마 처리장치에 관한 것으로, 특히 반도체소자나 액정표시소자(LCD)의 제조에 사용되는 플라스마CVD(Chemical Vapor Deposition)법 및 플라스마 CVD장치에 관한 것이다.
종래의 플라스마 CVD법에 있어서는, 예를 들면 처리실내를 소정의 가스에 의해 소정의 압력으로 압력조절을 행하면서 고주파전원에 의해 음극, 양극 사이에 고주파전력을 인가하여 플라스마를 발생시켜 양극측의 기판재치대상에 재치된 기판에 성막을 행하고, 성막에 필요한 소정의 시간이 경과하면, 고주파전력의 인가와 반응가스의 도입과 처리실내의 압력조절을 동시에 멈추어 처리실내를 고진공상태가 되도록 배기하고, 처리실내가 소정의 진공도에 도달한 후에, 기판재치대로부터 기판을 분리하여 들어올리고, 그 후, 반송로봇에 의해 기판을 처리실로부터 반출하고 있었다.
그러나, 성막후 상술한 종래의 플라스마 CVD법의 시퀀스에 의해 기판을 반송하면, 기판반송시에 기판이 근접하는 음극에 부착한 채이거나, 기판이 음극에 일단 부착한 후 기판재치대에 떨어지거나, 또 떨어져서 기판이 갈라져 버리거나 하여, 기판반송미스를 일으키는 일이 있었다. 또, 기판이 가깝게 접지되어 있는 장소와 스파크를 일으키는 경우가 있고, 그 결과, 성막한 막이나 디바이스 패턴이 분산되어 버려 패턴결락이 발생하고, 그것에 의해 절연되어 있던 장소가 파괴되는 소위 절연파괴가 초래되는 경우도 있었다. 이와 같이, 기판반송미스나 절연파괴가 발생하는 경우가 있기 때문에, 종래의 플라스마 CVD법의 시퀀스에서는 안정하게 성막 프로세스 처리가 이루어지지 않았다.
따라서, 본 발명의 목적은 플라스마 처리종료후의 기판반송미스나 절연파괴를 방지할 수 있는 플라스마 처리방법 및 플라스마 처리장치를 제공하는 데에 있다.
제1도는 본 발명의 일실시의 형태의 플라스마 CVD방법 및 플라스마 CVD장치를 설명하기 위한 종단면도,
제2도는 본 발명의 일실시의 형태의 플라스마 CVD방법 및 플라스마 CVD장치를 설명하기 위한 횡단면도,
제3도는 본 발명의 일실시의 형태의 플라스마 CVD장치에 사용되는 기판반송장치를 설명하기 위한 평면도,
제4도는 본 발명의 일실시의 형태의 플라스마 CVD장치의 컨트롤러를 설명하기 위한 블록도,
제5도는 본 발명의 일실시의 형태의 플라스마 CVD방법 및 플라스마 CVD장치가 적용되는 LCD용 매엽식(枚葉式) 플라스마 CVD장치를 설명하기 위한 블록도,
제6도는 본 발명의 일실시의 형태의 플라스마 CVD방법을 설명하기 위한 시퀀스도,
제7도는 비교를 위한 플라스마 CVD방법을 설명하기 위한 시퀀스도.
* 도면의 주요부분에 대한 부호의 설명
10 : 기판 20 : 외측조
21 : 기판반입구 22, 24 : 게이트 밸브
23 : 기판반출구 27,29 : 구멍
30 : 케소드 32 : 음극 히터
34 : 샤워 플레이트 35 : 반응가스 분산구
37, 371, 372 : 반응가스 도입관
38 : 간극 40 : 양극
41 : 양극 히터 44 : 기판재치대
46 : 히터구동축 52 : 기판승강핀
54 : 기판승강핀 구동축
61, 62, 63, 64, 65, 91, 92 : 배기관
66 : 압력조절 밸브 70 : 내측조
72 : 전극 홀더 74 : 내측조 내벽
76 : 절연체 82 : 전압검출기
84 : 고주파전원 90 : 고진공 펌프
96, 1001, 1002, 1006 : 개폐밸브
100 : 플라스마 CVD장치
200 : LCD용 매엽식 플라스마 CVD장치
1000 : 컨트롤러 1003, 1004 : 매스 플로 컨트롤러
1005, 1005' : 기판반송장치 1030 : 성막(成膜)처리조
1040 : 통팔 제어부 1041 : 밸브 제어부
1042 : 가스유량 제어부 1043 : 압력 제어부
1044 : 고주파출력 제어부 1045 : 온도 제어부
1046 : 기판이탈 제어부 1047 : 기판반송 제어부
1048 : 표시부 1049 : 입력부
110, 1120 : 기판반송실 S1, S2 : 카세트 스탠드
T1, T5 : 대기용 반송 로봇 T2, T3, T4 : 진공용 반송 로봇
L1, L2 : 로드 록실 R1, R2, R3 : 성막처리실
H : 기판가열실
본 발명자들은 예의 연구한 결과, 상기 기판반송 미스나 절연파괴가 성막중의 플라스마방전에 의해 기판에 발생한 대전전하나, 기판을 기판재치대로부터 분리할 때에 발생하는 박리대전에 의해 기판에 발생한 대전전하가 원인이 되어 초래되고 있다고 생각하기에 이르렀다. 즉, 기판은 성막중의 플라스마 방전에 의해 기판재치대상에 있어서 이미 대전하고 있으나, 기판을 고진공중에서 기판재치대로부터 분리하여 들어올리면, 박리대전이 발생하여 기판의 대전전위는 한층 커진다. 또한, 이 박리대전에 의한 대전전위는 기판을 들어올리는 속도가 크면 클수록 커지고, 속도가 작으면 작을수록 그 만큼 억제된다. 이와 같이, 플라스마에 의해 기판이 대전하고, 박리대전에 의해 기판의 대전전위가 커지기 때문에, 정전기에 의해 기판반송시에 기판이 근접하는 음극에 부착한 채이거나, 기판이 음극에 일단 부착한 후, 기판재치대에 떨어지거나, 또 떨어져서 기판이 갈라지거나 하여, 기판반송 미스를 일으키고 있었다. 또, 기판에 대전한 전하는 어딘가 가깝게 접지되어 있는 장소로 피하려고 하기 때문에, 기판이 가깝게 접지되어 있는 장소와 스파크를 일으키고, 그 결과 성막한 막이나 디바이스 패턴이 분산되어 버려 패턴결락이 발생하여, 그것에 의해 절연되어 있던 장소가 파괴되는 소위 절연파괴가 발생하였다.
본 발명은 이상의 견지에 의거하여 이루어진 것으로, 청구항1에 의하면, 처리실내의 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 상기 처리실내의 기판재치대에 재치된 기판의 플라스마처리를 행하는 공정과, 상기 고주파의 인가를 멈춘 후, 상기 처리실내에 있어서 상기 기판을 소정의 가스분위기중에 소정의 시간 쬐는 공정과, 그 후, 상기 기판을 상기 처리실로부터 반출하는 공정을 가지는 것을 특징으로 하는 플라스마 처리장치가 제공된다.
고주파의 인가를 멈춘 후, 처리실내에 있어서 기판을 소정의 가스분위기중에 소정의 시간 쬠으로써, 플라스마 처리중에 플라스마방전에 의해 기판에 발생한 대전전하가 제거된다고 본 발명자들은 생각하고 있다.
또, 청구항2에 의하면, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 소정의 가스분위기중에서 상기 기판의 대전을 제거하는 공정인 것을 특징으로 하는 제1항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항3에 의하면, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 소정의 가스분위기중에서 상기 기판을 상기 기판처리대로부터 분리하는 공정을 포함하고 있는 것을 특징으로 하는 청구항1에 기재된 플라스마 처리방법이 제공된다. 이와 같이, 소정의 가스분위기중에서 기판을 기판재치대로부터 분리하면, 박리대전이 제거, 억제 또는 방지된다고 본 발명자들은 생각하고 있다.
또, 청구항4에 의하면, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 처리실내에 있어서 상기 기판을 상기 기판재치대에 재치한 상태로, 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정이고, 그 후, 상기 처리실내에 있어서 상기 기판을 상기 기판재치대로부터 분리하는 공정을 또한 가지는 것을 특징으로 하는 청구항1에 기재된 플라스마 처리방법이 제공된다.
또, 청구항5에 의하면, 상기 기판을 상기 기판재치대로부터 분리하는
공정을, 제2 소정의 가스분위기중에서 행하는 것을 특징으로 하는 청구항4에 기재된 플라스마 처리방법이 제공된다.
또, 청구항6에 의하면, 상기 제2 소정의 가스분위기의 가스가 상기 소정의 분위기의 가스와 동일한 것을 특징으로 하는 청구항5에 기재된 플라스마 처리방법이 제공된다.
이 경우에는 바람직하게는 기판을 기판재치대에 재치한 상태로 기판을 소정의 가스분위기중에 소정의 시간 쬔 후, 연속하여 같은 가스분위기중에서 기판을 기판재치대로부터 분리한다.
또, 청구항7에 의하면, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 고주파의 인가를 멈춘 직후에 상기 처리실내에 있어서 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 죄는 공정인 것을 특징으로 하는 청구항1 내지 청구항6에 기재된 플라스마 처리방법이 제공된다.
또, 청구항8에 의하면, 상기 기판의 플라스마처리를 행하는 공정이 상기 처리실내에 가스를 유입함과 동시에 상기 처리실로부터 가스를 배기하여 상기 처리실내를 소정의 압력으로 제어하면서, 상기 처리실내의 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 상기 처리실내의 상기 기판재치대에 재치된 상기 기판의 플라스마처리를 행하는 공정이고, 상기 기판을 소정의 가스분위기중에 죄는 상기 공정이 상기 플라스마처리후에 상기 고주파의 인가를 멈추고, 상기 처리실내에 유입하는 가스중의 적어도 1종류의 가스의 유입을 상기 고주파의 인가를 멈춘 후에도 계속하면서 상기 처리실내에 있어서 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정인 것을 특징으로 하는 청구항1 내지 청구항7의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
이와 같이 하면, 고주파의 인가를 멈추기만 하거나 , 혹은 고주파의 인가를 멈춤과 동시에 불필요한 가스의 공급을 멈추기만 해도 되고, 성막에 사용한 가스를 다른 가스로 전환할 필요가 없어지기 때문에, 가스의 전환에 따르는 시간손실이 발생하는 것이 방지된다. 또, 처리실이나 기판에 접하는 가스종류는 플라스마 처리시와 동일하기 때문에, 오염에 대한 걱정이 없어지고 또한 다음의 플라스마 처리도 재현성좋게 행할 수 있게 된다. 또한, 가스분위기의 압력도 성막시와 동일하게 하는 것이 바람직하다.
또, 청구항9에 의하면, 상기 처리실내에 유입하는 가스중의 적어도 1종류의 가스의 유입을 계속하면서 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 플라스마 처리후 상기 고주파의 인가를 멈추고, 상기 플라스마 처리시에 상기 처리실내에 유입하는 가스중 모든 가스의 유입을 상기 고주파의 인가를 멈춘 후에도 계속하면서 상기 처리실내에 있어서 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정인 것을 특징으로 하는 청구항8에 기재된 플라스마 처리방법이 제공된다.
이와 같이 하면, 고주파의 인가를 멈추는 것만으로 좋아지고, 조작이 간단해진다. 또한, 가스분위기의 압력도 성막시와 동일하게 하면, 조작이 더욱 간단해진다.
또, 청구항10에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 상기 처리실내에 있어서 가스를 계속 유입하는 가스분위기중인 것을 특징으로 하는 청구항1 내지 청구항9의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항11에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 상기 처리실로부터의 배기를 멈춘 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항9의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항12에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 압력제어된 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항11의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항13에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 플라스마 처리시와 같은 압력으로 제어된 가스분위기인 것을 특징으로 하는 청구항12에 기재된 플라스마 처리방법이 제공된다.
또, 청구항14에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 플라스마 처리시와 같은 유량으로 제어된 가스를 유입하는 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항13의 어느 한 항에 기재된 플라스마 처리방법이 제공된다. 이와 같이 하면, 가스의 조작이 용이해진다.
또, 청구항15에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 압력을 0.2 내지 1.5Torr로 제어된 가스분위기인 것을 특징으로 하는 청구항12 내지 청구항14의 어느 한 항에 기재된 플라스마 처리방법이 제공된다. 또한, 이 압력은 플라스마처리가 플라스마 CVD법인 경우, 그 중에서도 CVD법에 의한 산화실리콘의 성막인 경우에 특히 바람직한 범위이다.
또, 청구항16에 의하면, 상기 소정의 가스분위기 및 제2 소정의 가스분위기가 플라스마처리시에 사용한 가스중의 1종류 이상의 가스로 이루어지는 것을 특징으로 하는 청구항1 내지 청구항15의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
이와 같이 하면, 처리실이나 기판에 접하는 가스종류는 플라스마 처리시와 동일하기 때문에, 오염의 염려가 없어지고, 또한 다음의 플라스마처리도 재현성좋게 행할 수 있게 된다. 또한, 가스분위기의 압력도 성막시와 동일하게 하는 것이 바람직하다.
또, 청구항17에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 적어도 1종류의 환원성가스를 포함하는 분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다. 이와 같은 환원성가스로서는 NH3, PH3, H2, SiH4등이 적절하게 사용된다.
또, 청구항18에 의하면, 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 산소원자를 그 구조식중에 가지는 적어도 1종류 포함하는 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항17의 어느 한 항에 기재된 플라스마 처리방법이 제공된다. 산소원자를 그 구조식중에 가지는 가스로서는, 예를 들면 N2O를 들 수 있다.
또, 청구항19에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 상기 기판상으로의 성막인 것을 특징으로 하는 청구항1 내지 청구항18의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또한, 플라스마 CVD법에 의해 성막되는 막으로서는, 예를 들면, LCD의 제조에서는 SiO2막, SiN막, 비결정질 실리콘막, n+-비결정질 실리콘막등을 들 수 있다. 이 중에서도, 특히 SiO2막과 SiN막의 성막의 경우에 본 발명은 특히 현저한 효과가 있다. 또한, LCD제조의 경우에는, 기판으로서는 주로 글라스기판이 사용된다. 또, 본 발명은 반도체소자의 제조에도 적용되며, 이 경우에는 기판으로서 주로 Si웨이퍼가 사용된다.
또, 청구항20에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 상기 기판상으로의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 성막된 막의 성분원자를 그 구조식중에 포함하는 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항1 내지 청구항19의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
이와 같이, 성막된 막의 성분원자를 그 구조식중에 포함하는 가스로 이루어지는 분위기중에 기판을 쬐면, 처리실 및 기판에 접하는 것은 성막시와 같은 종류의 가스성분이기 때문에, 오염의 염려가 적어지거나 또는 없어지고, 또 다음의 플라스마처리도 재현성이 좋은 것으로 할 수 있다.
또, 청구항21에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 산화실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 산소원자를 그 구조식중에 가지는 가스 및 Si원자 및 산소원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항20에 기재된 플라스마 처리방법이 제공된다.
또, 청구항22에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 질화실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 질소원자를 그 구조식중에 가지는 가스 및 Si원자 및 질소원자를 그 구조식중에 가지는 가스의 적어도 1종류이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항20에 기재된 플라스마 처리방법이 제공된다.
또, 청구항23에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 13족 또는 15족의 불순물이 도핑된 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 상기 비결정질 실리콘막이 13족의 불순물이 도핑된 비결정질 실리콘막인 경우에는 Si원자를 그 구조식중에 가지는 가스, 13족의 원자를 그 구조식중에 가지는 가스 및 Si원자 및 13족의 원자를 그 구조식중에 가지는 가스중의 적어도 1종류 이상의 가스로 이루어지는 분위기이고, 상기 비결정질 실리콘막이 15족의 불순물이 도핑된 비결정질 실리콘막인 것인 경우에는, Si원자를 그 구조식중에 가지는 가스, 15족의 원자를 그 구조식중에 가지는 가스 및 Si원자 및 15족의 원자를 그 구조식중에 가지는 가스중의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항20에 기재된 플라스마 처리방법이 제공된다.
13족의 불순물로서는, 예를 들면 B를 들 수 있으며, 이 경우에는 13족의 그 구조식중에 가지는 가스로서는 B2H6등이 바람직하게 사용된다.
15족의 불순물로서는, 예를 들면 P나 As를 들 수 있으며, 이 경우에는 15족의 원자를 그 구조식중에 가지는 가스로서는 PH3나 AsH3등이 바람직하게 사용된다.
또, 청구항24에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 n형의 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 인원자를 그 구조식중에 가지는 가스 및 Si원자 및 인원자를 그 구조식중에 가지는 가스중의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항20 또는 청구항23에 기재된 플라스마 처리방법이 제공된다.
인원자를 그 구조식중에 가지는 가스로서는, 바람직하게는 PH3를 들수 있다. 또, 이 방법은 특히 n+형의 비결정질 실리콘막의 성막에 적절하게 사용된다.
또, 청구항25에 의하면, 상기 플라스마처리가 플라스마 CVD법에 의한 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항20 기재된 플라스마 처리방법이 제공된다.
또, 청구항26에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 불활성가스 또는 수소가스를 또한 포함하는 것을 특징으로 하는 청구항20 내지 청구항25의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
이와 같이, 본 발명은 원료가스로서 불활성가스 또는 수소가스에 의해 희석된 반응가스를 사용한 경우에도 유효하게 적용된다. 또한, 불활성가스로서는, N2가스나 He, Ar, kr, Xe등의 희석가스가 사용된다.
또, 청구항27에 의하면, Si원자를 그 구조식중에 가지는 상기 가스가 SinH2n+2(n은 1이상의 정수)로 표시되는 가스인 것을 특징으로 하는 청구항21 내지 청구항26의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
예를 들면, Si원자를 그 구조식중에 가지는 가스가, SiH4인 경우, 고주파의 인가를 멈춘 후, SiH4분위기 그대로도 좋으나, Si2H6나 Si3H8로 전환해도 되며, 이들의 가스는 SinH2n+2의 구조식으로 표시되는 가스이다.
또, 청구항28에 의하면, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 H2가스를 또한 포함하는 것을 특징으로 하는 청구항27에 기재된 플라스마 처리방법이 제공된다.
예를 들면, 성막용 가스가 SinH2n+2의 구조식으로 이루어지는 가스인 경우, Si는 성막에 기여하고, H원자의 일부는 H2가 되어 배기한다. 따라서, SinH2n+2의 구조식으로 이루어지는 가스에 H2가스를 첨가해도 아무런 지장이 없다.
또, 청구항29에 의하면, Si원자를 그 구조식중에 가지는 상기 가스가 SiF4, SiH2Cl2및 Si2aF6으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 청구항22에 기재된 플라스마 처리방법이 제공된다.
질화실리콘막의 성막에는 SiF4, SiH2Cl2또는 Si2F6을 사용할 수 있으며, 그 경우에는 Si원자를 그 구조식중에 가지는 가스로서, SiF4, SiH2Cl2및 Si2F6으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스가 바람직하게 사용된다.
또, 청구항30에 의하면, 산소원자를 그 구조식중에 가지는 상기 가스가 N2O, CO2, CO 및 O2로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 청구항21에 기재된 플라스마 처리방법이 제공된다.
산화실리콘막의 성막에는, N2O, CO2, CO 또는 O2가 적절하게 사용되기 때문에, 그 경우에는 산소원자를 그 구조식중에 가지는 가스로서 N2O, CO2, CO 및 O2로 이루어지는 군으로부터 선택되는 1종류 이상의 가스가 바람직하게 사용된다.
또, 청구항31에 의하면, 질소원자를 그 구조식중에 가지는 상기 가스가 NH3, N2및 F3으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 청구항22에 기재된 플라스마 처리방법이 제공된다.
질화실리콘막의 성막에는, NH3, N2또는 NF3가 적절하게 사용되기 때문에, 그 경우에는 그 구조식중에 가지는 가스로서, NH3, N2및 NF3로 이루어지는 군으로부터 선택되는 1종류 이상의 가스가 바람직하게 사용된다.
또, 청구항32에 의하면, 인원자를 그 구조식중에 가지는 상기 가스가 PH3인 것을 특징으로 하는 플라스마 처리방법이 제공된다.
n형의 도핑으로서 P가 적절하게 사용되기 때문에, 그 경우에는 인원자를 그 구조식중에 가지는 가스로서, PH3가 바람직하게 사용된다.
또, 청구항33에 의하면, 상기 고주파의 인가를 멈춘 후, 상기 소정의 가스분위기에 다시 희석가스를 첨가한 것을 특징으로 하는 청구항1 내지 청구항32의 어느 한 항에 기재된 플라스마 처리장치가 제공된다.
또, 청구항34에 의하면, 상기 플라스마처리가 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n+-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막중의 어느 하나의 막을 F원자를 그 구조식중에 가지는 가스와, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 F원자를 그 구조식중에 가지는 가스, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
F원자를 그 구조식중에 가지는 가스로서, F2, SF6, NF3, CF4, C2F6, C3F8, CHF3이 적절하게 사용되고, 에칭처리에서는 바람직하게 상기 F원자를 그 구조식중에 가지는 가스에 H2, He, N2, O2, NH3및 CO중의 어느 한 가스를 첨가하여 처리가 행해지기 때문에, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기를 F원자를 그 구조식중에 가지는 가스 H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기로 하는 것이 바람직하다.
또, 청구항35에 의하면, 상기 플라스마처리가 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n+-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막중의 어느 하나의 막을 C1원자를 그 구조식중에 가지는 가스와, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 C1원자를 그 구조식중에 가지는 가스, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
C1원자를 그 구조식중에 가지는 가스로서는, HCl, Cl2, BCl3, CCl4가 적절하게 사용되고, 에칭처리에서는 상기 Cl원자를 그 구조식중에 가지는 가스에, H2, He, N2, O2, NH3및 CO중의 어느 하나의 가스를 첨가하여 처리가 행해지기 때문에, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기를 Cl원자를 그 구조식중에 가지는 H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기로 하는 것이 바람직하다.
또, 청구항36에 의하면, 상기 플라스마처리가 ITO(Indium Tin Oxide)막을 HI가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 HI가스분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항37에 의하면, 상기 플라스마처리가 Al막을 HCl, Cl2, BCl3및 CCl4로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 HCl, Cl2, BCl3및 CCl4로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항38에 의하면, 상기 플라스마처리가 Ar, He, Kr 및 Xe로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하는 스퍼터처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Ar, He Kr, Xe로 이루어지는 군으로부터 선택되는 1종류 이상의 가스분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항39에 의하면, 상기 플라스마처리가 포토 레지스트를 O2, NF3및 H2O로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 애칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 O2, NF3및 H2O로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 청구항1 내지 청구항16의 어느 한 항에 기재된 플라스마 처리방법이 제공된다.
또, 청구항40에 의하면, 기판에 플라스마처리를 실시하는 처리실과, 상기 처리실내에 고주파를 인가가능한 고주파 인가용 전극과, 상기 처리실내에 설치된 기판재치수단과, 상기 처리실내에 연통하는 가스공급관과, 상기 처리실내에 연통하는 배기관과, 상기 가스공급관에 의해 상기 처리실내에 플라스마 처리용 가스를 공급함과 동시에 상기 배기관으로부터 상기 가스를 배기하면서 상기 처리실내를 소정의 감압으로 제어하면서 상기 전극에 의해 상기 가스에 고주파를 인가하여 상기 기판재치대에 재치된 기판을 소정시간 플라스마처리하고, 계속해서 상기 고주파의 인가를 멈춘 후, 상기 플라스마 처리시에 사용한 플라스마 처리용 가스의 구조식중에 포함되는 원자가 그 구조식중에 포함되는 가스를 유입하도록 제어하는 컨트롤러를 가지는 것을 특징으로 하는 플라스마 처리장치가 제공된다.
또, 청구항41에 의하면, 상기 고주파 인가용 전극이 상기 처리실내에 서로 병행하게 설치된 평행평판형 2개의 전극이고, 상기 기판재치수단이 상기 2개의 전극중의 한쪽에 설치되고, 또는 상기 기판재치수단이 상기 제2 전극중의 상기 한쪽의 전극인 것을 특징으로 하는 청구항40에 기재된 플라스마 처리장치가 제공된다.
또, 청구항42에 의하면, 상기 기판재치수단으로부터 상기 기판을 이탈시키는 기판이탈수단을 또한 가지고, 상기 콘트롤러가 상기 고주파의 인가를 멈춘 후, 플라스마 처리시에 사용한 플라스마 처리용 가스의 구조식중에 포함되는 원자가 그 구조식중에 포함되는 가스를 소정시간 흘린 후, 기판이탈수단에 의해 상기 기판을 상기 기판재치수단으로부터 이탈하도록 제어하는 컨트롤러인 것을 특징으로 하는 청구항40 또는 청구항41에 기재된 플라스마 처리장치가 제공된다.
또, 청구항43에 의하면, 상기 기판재치수단으로부터 상기 기판을 이탈시키는 기판이탈수단을 또한 가지고, 상기 컨트롤러가 상기 고주파의 인가를 멈춘 후에도, 플라스마 처리시에 사용한 상기 플라스마 처리용 가스를 계속해서 상기 가스공급관에 의해 상기 처리실내에 계속 공급함과 동시에, 상기 고주파의 인가를 멈춘 직후부터 또는 상기 고주파의 인가를 멈추고나서 소정의 시간이 경과한 후에, 상기 기판이탈수단에 의해 상기 기판을 상기 기판재치수단으로부터 이탈하도록 제어하는 컨트롤러인 것을 특징으로 하는 청구항40 또는 청구항41에 기재된 플라스마 처리장치가 제공된다.
다음에, 본 발명의 일실시의 형태를 도면을 참조하여 설명한다.
도1, 도2는 각각 본 발명의 일실시의 형태를 설명하기 위한 플라스마 CVD장치의 종단면도 및 횡단면도이고, 도3은 본 발명의 일실시의 형태의 플라스마 CVD장치에 사용되는 기판반송장치를 설명하기 위한 평면도이다.
본 실시의 형태의 플라스마 CVD장치(100)는 성막처리조(1030)와, 그 양측의 기판반송실(1110,1120)을 구비하고 있다. 성막처리조(1030)는 외측조(20)와 내측조(70)를 구비한 2조 구조로 되어 있다. 내측조(70)가 성막처리실로서 기능한다. 내측조(70)내에는 음극(30)와 양극(40)가 설치되어 있다. 음극(30)는 음극 히터(32)와 샤워 플레이트(34)와 반응가스 도입관(37)을 구비하고 있다.
반응가스 도입관(37)은 사용가스의 종류에 따라 적절하게 분기되는데, 본 실시의 형태에서는 2개의 반응가스 도입관(371, 372)으로 분기되어 있고, 반응가스 도입관(371,372)에는 개폐밸브(1001, 1002) 및 매스 플로 컨트롤러(1003, 1004)가 각각 설치되어 있다. 이에 따라, 반응가스의 선택을 하고, 또한 유량제어하면서 내측조(70)에 반응가스를 도입하고 있다.
음극 히터(32)는 시트상의 저항가열식 히터선(31)과 알루미늄부재(33)로 이루어져 있다. 샤워 플레이트(34)에는 복수의 반응가스 분산구(35)가 설치되어 있다. 음극(32)와 샤워 플레이트(34) 사이에는 극간(38)이 형성되어 있다.
양극(40)는 양극 히터(41)와 양극 히터(41)상에 설치된 기판재치대(44)를 구비하고 있다. 양극 히터(41)는 시트상의 저항가열식 히터선(42)과 알루미늄 부재(43)로 이루어져 있다.
음극(30)에는 고주파 전원(84)이 접속되어 있고, 양극(40)는 접지되어있다.
외측조(20)의 상판(26)에는 SUS제의 전극 홀더(72)가 고정되어 있다. 전극 홀더(72)의 내측에는 석영으로 이루어지는 절연체(76)가 전극 홀더(72)에 의해 고정되어 있다. 절연체(76)의 내측에는 상술한 음극(30)가 설치되어 있고, 음극(30)는 절연체(76)에 의해 내측조(20)와 절연되어 있다.
양극 히터(41)의 주위상에는 인코넬제, 알루미늄제 또는 SUS제의 외측조 외벽(74)이 전극 홀더(72)와 대응하여 설치되어 있다.
양극 히터(41)는 히터 구동축(46)에 고정되어 있고, 히터 구동축(46)을 승강시킴으로써 승강한다. 또한, 히터 구동축(46)은 에어실린더(47)를 승강시킴으로써 승강한다.
양극 히터(41)가 상승하면, 그 위에 설치된 내측조 외벽(74)도 상승하고, 전극 홀더(72)와 당접한다.
양극 히터(41)에는 배기관(61, 62)이 설치되어 있다. 배기배관(61)은 외측조(20)의 바닥판(28)에 설치된 구멍(27) 및 배기관(63)내에 삽입되어 있다. 배기배관(62)은 외측조(20)의 저판(28)에 형성된 구멍(29) 및 배기관(64)내에 삽입되어 있다. 배기관(61)과 저판(28)의 구멍(27)의 내벽 및 배기관(63)의 내벽 사이에는 간극이 있고, 배기관(62)과 저판(28)의 구멍(29)의 내벽 및 배기관(64)의 내벽 사이에도 간극이 있다. 배기관(63, 64)은 배기관(65)을 통해서 고진공 펌프(90)에 접속되어 있다. 또, 배기관(65)의 도중에는 압력조절 밸브(66)가 설치되고, 압력조절밸브(66)의 하류에는 개폐밸브(1006)가 설치되어 있다. 성막시에는 뒤에 설명하는 개폐밸브(96)를 닫고 개폐밸브(1006)을 열어, 내측조(70)내를 배기관(61, 63, 62, 64, 65)을 통해서 배기하고, 외측조(20)도 배기관(61)과 저판(28)의 구멍(27)의 내벽 및 배기관(63)의 내벽 사이의 간극, 배기관(62)과 저판(28)의 구멍(29)의 내벽 및 배기관(64)의 내벽 사이의 간극, 배기관(63, 64, 65)을 통해서 배기한다. 배기관(65)의 도중에는 압력조절 밸브(66)가 설치되어 있기 때문에, 내측조(70) 및 외측조(20)내는 소정의 압력으로 압력조절된다. 플라스마 CVD장치(100)의 압력은 외측조(20)의 상판(26)에 설치된 압력계(82)에 의해 측정한다.
또한, 이와 같이, 외측조(20)와 내측조(70) 사이를 진공으로 하기 때문에, 내측조(70)의 방열이 억제되고, 그 결과 내측조(70)를 핫 월(hot wall) 상태로 유지할 수 있기 때문에, 내측조(70)의 내벽에 박리하기 쉬운 생성물이 발생하지 않아, 파티클의 발생을 방지할 수 있다.
또, 외측조(20)에는 배기관(92)이 설치되어 있고, 배기관(92)을 배기관(91)을 통해서 고진공 펌프(90)에 접속되어 있다. 배기관(92)의 도중에는 개폐밸브(96)가 설치되어 있다. 성막시 이외의 기판반송 동작중 등의 경우에는 개폐밸브(1006)를 통해서 개폐밸브(96)를 열고, 외측조(20)내를 배기관(92, 91)을 통해서 배기한다.
기판재치대(44)상에 재치된 기판(10)은 기판승강핀(52)에 의해 기판재치대(44)로부터 분리되어 승강된다. 또한, 기판승강핀(52)은 기판승강핀 구동축(54)에 부착되어 있고, 기판승강핀(54 )을 승강시킴으로써 승강한다.
외측조(20)의 측면(121, 123)에는 기판반입구(21), 기판반출구(23)가 각각 설치되어 있다. 기판반입구(21)에는 게이트 밸브(22)가 설치되고, 기판반출구(23)에는 게이트 밸브(24)가 설치되어 있다.
기판반송실(1110, 1120)에는 기판반입구(21), 기판반출구(23)를 각각 통과하여 기판을 반송하는 기판반송장치(1005, 1005')가 각각 설치되어, 기판처리를 행하는 내측조(70)내의 기판재치대(44)와 다른 처리조(도시하지 않음)내의 기판재치부 등과의 사이에서 기판반송이 행해진다.
본 실시의 형태의 기판반송장치(1005, 1005')는 다간접 로봇의 예이고, 로봇 아암(1027, 1027')이 구동부(1023, 1023')에 회전축(1026, 1026')으로 연결하고 있다. 연결 아암(1027, 1027')의 상세한 것을 서술하면, 기판재치부(1020, 1020')와 제1 반송 아암(1021, 1021')이 회전축(1024, 1024')으로 연결되어 있고, 제1 반송 아암(1021, 1021')과 제2 반송 아암(1022, 1022')은 회전축(1025, 1025')으로 연결되어 있고, 제2 반송 아암(1022, 1022')과 구동부(1023, 1023')는 회전축(1026, 1026')으로 연결되어 있다.
제1 반송 아암(1021, 1021')내와 제2 반송 아암(1022, 1022')내에 있어서는, 회전축(1024, 1024'), (1025, 1025') 및 회전축(1026, 1026')에 풀리(도시하지 않음)가 각각 연결되어 있고, 각각의 풀리에는 벨트(도시하지 않음)를 통해서 구동력이 전달되도록 되어 있다. 또, 각각의 풀리의 직경방향의 크기의 비를 조절하면, 도면에 도시한 바와 같이 로봇 아암(1027, 1027')의 연장동작이나 수축동작이 가능해진다.
또, 구동부(1023, 1023')내에는 로봇 아암(1027, 1027')의 신장/수축동작을 구동하는 구동 모터(도시하지 않음)가 회전축(1026, 1026')에 연결되어 있고, 이 구동 모터를 회전축(1026, 1026')과는 관계없이 회전시킴으로써, 로봇 아암(1027, 1027')을 회전시켜, 로봇 아암(1027, 1027')의 신장/수축방향을 변경할 수 있다.
도4는 본 발명의 일실시의 형태의 플라스마 CVD장치의 컨트롤러를 설명하기 위한 블록도이다.
컨트롤러(1000)에 있어서는, 통괄제어부(1040)에 소요의 기능에 따라서 밸브 제어부(1041), 가스유량 제어부(1042), 압력 제어부(1043), 고주파출력 제어부(1044), 온도 제어부(1045), 기판이탈 제어부(1046), 기판반송 제어부(1047)가 연결되어 있고, 장치의 제어상황이나 설정상황등을 작업자가 눈으로 확인하는 표시부(1048), 제어상황의 변경이나 설정값을 변경하는 입력부(1049)도 연결되어 있다. 또한, 입력부(1049)는 작업자가 수동입력하는 키보드도 좋고, 또 플로피 디스크나 IC카드 등의 기억매체를 자동입력하는 장치도 좋다.
밸브 제어부(1041)는 개폐밸브(1001, 1002)와 연결하여, 가스 도입관(71, 372)의 개폐상태를 제어함으로써, 가스를 선택적으로 유입하는 것이 가능해진다. 또한, 개폐밸브(1006, 96)와 연결하고, 배기관(65, 92)의 개폐상태를 각각 제어하는 것이 가능해진다. 또한, 게이트 밸브(22, 24)와 연결하여, 기판반입구(22), 기판반출구(24)의 개폐상태를 제어하는 것이 가능하다.
가스유량 제어부(1042)는 매스 플로 컨트롤러(1003, 1004)와 연결하여, 매스 플로 컨트롤러(1003, 1004)내의 밸브개도를 각각 제어함으로써, 단위시간당 유입하는 가스량을 제어할 수 있다.
압력제어부(1043)는 압력조절 밸브(66), 압력검출기(82)와 연결하여, 압력검출기(82)로부터의 압력검출결과에 의거하여 압력조절 밸브(66)내의 밸브개도를 제어함으로써, 단위시간당 배기하는 양을 제어할 수 있다.
고주파출력 제어부(1044)는 고주파 전원(84)에 연결하여, 고주파 전원(84)으로부터의 고주파 전력량을 제어하고, 또 고주파의 인가의 온, 오프도 제어하고 있다.
온도 제어부(1045)는 히터선(42) 및 양극 히터(41)의 온도검출을 하고 있는 도면중 생략한 열전대와 연결하고 있어, 히터온도를 설정하고 열전대로부터의 검출결과와 비교하여, 히터에 공급하는 전력량을 제어하고 있다.
기판이탈 제어부(1046)는 기판승강핀 구동축(54)과 연결하고 있어, 기판승강핀 구동축(54)의 승강동작에 의해, 기판(10)의 기판재치대(44)로의 재치 및 이탈을 제어하고 있다.
기판반송 제어부(1047)는 기판반송장치(1005, 1005')와 연결하고 있어, 기판처리조(1030)로의 기판반송 및 기판처리조(1030)로부터의 기판반송을 제어하고 있다.
통괄제어부(1040)에는 미리 시퀀스제어하기 위한 레시피(recipe)가 입력가능하여, 그 레시피에 따라서 밸브 제어부(1041), 가스유량 제어부(1042), 압력 제어부(1043), 고주파출력 제어부(1044), 온도 제어부(1045), 기판박리 제어부(1046), 기판반송 제어부(1047)로의 동작지시를 하고 있다. 또한, 통괄 제어부(1040)에서는, 예를 들면 게이트 밸브(22, 24)가 열려 있지 않은 상태나, 양극 히터(41)가 내려가지 않고 내측조 외벽(74)이 내려가 있지 않은 상태시에, 기판반송장치(1005, 1005')의 기판반입/기판반출을 금지하는 인터록이 설치되어 있어, 오동작지령에 대해서는 동작시키지 않는 기능도 구비하고 있다.
도5는 본 발명의 플라스마 처리방법이 적용되는 LCD용 매엽식 플라스마 CVD장치(200)를 설명하기 위한 블록도이다.
LCD용 매엽식 플라스마 CVD장치(200)에는 카세트 스탠드(S1, S2), 대기용 반송 로봇(Tl, T5), 진공용 반송 로봇(T2, T3, T4), 로드 록(load lock)실(Ll, L2), 성막처리실(Rl, R2, R3), 기판가열실(H)이 설치되어 있다. 본 발명의 플라스마 처리방법 및 플라스마 처리장치는 성막처리실(Rl, R2, R3)에서의 처리에 적용된다.
카세트 스탠드(S1)에는 통상 글라스기판을 최대 20매까지 넣을 수 있는 카세트(도시하지 않음)가 설치된다. 대기용 반송 로봇(T1)에 의해 카세트 스탠드(S1)에 설치된 카세트(도시하지 않음)로부터 글라스기판을 1매만 취출하여 로드 록실(Ll)에 반송한다. 그 후, 로드 록실(L1)을 대기압으로부터 진공으로 한 후, 진공용 반송 로봇(T2)에 의해 기판가열실(H)에 글라스기판을 반송한다. 기판가열실(H)에서 글라스기판을 성막온도까지 가열한 후, 진공용 반송 로봇(T2)에 의해 성막실(R1)에 반송한다. 그 후, 성막실(R1)에서 성막을 행하여 성막한 후, 본 발명의 플라스마 처리방법에 의해 기판재치대(도시하지 않음)로부터 기판(도시하지 않음)을 분리하여 들어올리고, 진공용 반송 로붓(T3)에 의해 성막실(R2)에 반송한다. 성막실(R2)에 있어서도, 성막실(R1)과 마찬가지로 하여, 성막을 행하고 성막한 후, 본 발명의 플라스마 처리방법에 의해 기판재치대(도시하지 않음)로부터 기판(도시하지 않음)을 분리하여 들어올리고, 진공용 반송 로붓(T4)에 의해 성막실(R3)에 반송한다. 성막실(R3)에 있어서도, 성막실(Rl)과 마찬가지로 성막을 행하여 성막한 후, 본 발명의 플라스마 처리방법에 기판재치대(도시하지 않음)로부터 기판(도시하지 않음)을 들어올리고, 진공용 반송로봇(T4)에 의해서 로드 록실(L2)에 반송한다. 로드 록실(L2)에서 글라스기판의 냉각을 함과 동시에 진공으로부터 대기로 복귀한다. 그 후, 대기용 반송 로봇(T5)에 의해 카세트 스탠드(S2)에 설치된 카세트(도시하지 않음)에 글라스기판을 수용한다.
도6은 본 발명의 일실시의 형태의 플라스마 CVD방법을 설명하기 위한 시퀀스도이다.
우선, 기판(10)이 기판재치대(44)상에 재치되고, 양극 히터(41)가 상승된 상태에서, 배기관(61, 62, 63, 64, 65), 압력조절 밸브(66) 및 고진공 펌프(90)에 의해 소정의 배기량으로 내측조(70)내를 배기하는 한편, 반응가스 도입관(37)으로부터 소정의 유량으로 반응가스를 내측조(70)내에 도입하여 내측조(70)내를 소정의 압력으로 압력조절을 행하면서, 고주파 전원(84)에 의해 음극(30), 양극(40) 사이에 고주파전력을 인가하여 플라스마를 발생시켜서 기판(10)상에 성막을 행한다. 반응가스는 반응가스 도입관(37)으로부터 공급되어, 음극히터(32)와 샤워 플레이트(34) 사이의 간극(38)에 유입되고, 샤워 플레이트(34)에 설치된 반응가스 분산구(35)로부터 기판(10)을 향해 유입되고, 배기관(61, 62)에 의해 내측조(70)로부터 배기된다.
성막에 필요한 소정의 시간이 경과하면, 고주파 전력의 인가를 멈추는데, 반응가스의 도입, 배기 및 내측조(70)내의 압력조절은 계속된다. 이 경우, 반응가스는 성막시의 것과 동일한 것이 바람직하고, 또, 반응가스의 유량도 성막시의 것과 동일한 것이 바람직하다. 또, 내측조(70)내의 배기량도 성막시와 동일하며, 그 결과 압력조절의 압력도 성막시와 동일한 것이 바람직하다. 고주파전력의 인가를 멈추는 것 만으로 이 상태로 용이하게 할 수 있다.
이 상태로 양극 히터(41)를 하강시키고, 그 후 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올린다.
기판이 들어올려진 후에, 반응가스의 공급을 멈추고, 내측조(70)내의 압력조절도 멈추어, 내측조(70) 및 외측조(20)내를 진공배기하여 고진공으로 한다.
그 후, 기판반송장치(1005')에 의해 기판(10)은 기판반출구(23)로부터 기판반송실(1120)로 반출되고, 그 후 다음의 처리조로 반송된다.
이와 같이, 고주파의 인가를 멈추고 반응가스 분위기중에 기판을 쬠으로써, 플라스마를 이용한 성막중에 기판(10)에 발생한 대전전하를 감소 또는 제거할 수 있고, 또한 반응가스 분위기중에서 기판재치대(44)로부터 기판(10)을 분리하여 들어올림으로써, 기판(10)의 대전을 유효하게 제거, 억제 또는 방지할 수 있고, 기판(10)을 대전전하가 적은 상태로 반송할 수 있게 된다. 그 결과, 기판반송시에 기판(10)이 근접하는 샤워 플레이트(34)에 부착한 채이거나, 기판(10)이 샤워 플레이트(34)에 일단 부착한 후, 기판재치대(44)에 떨어지거나, 또 떨어져서 기판(10)이 갈라져 버리거나 하여, 기판반송미스를 일으키는 것을 유효하게 방지할 수 있다. 또, 기판(10)이 가깝게 접지되어 있는 장소와 스파크를 일으켜서, 성막한 막이나 디바이스 패턴이 분산되어 버려 패턴결락이 발생하고, 그것에 의해 절연파괴가 일어나는 것도 유효하게 방지된다.
도7은 비교를 위한 플라스마 CVD법의 시퀀스를 설명하기 위한 시퀀스도이다.
우선, 기판(10)이 기판재치대(44)상에 재치되고, 양극 히터(41)가 상승한 상태에서, 배기관(61, 62, 63, 64, 65), 조압밸브(66) 및 고진공펌프(90)에 의해 소정의 배기량으로 내측조(70)를 배기하는 한편, 반응가스 도입관(37)으로부터 소정의 유량으로 반응가스를 내측조(70)내에 도입하여 내측조(70)내를 소정의 압력으로 압력조절을 행하면서, 고주파전원(84)에 의해 음극(30), 양극(40) 사이에 고주파전력을 인가하여 플라스마를 발생시켜 기판(10)상에 성막을 행한다. 성막에 필요한 소정의 시간이 경과하면, 고주파전력의 인가, 반응가스의 도입 및 배기 또는 내측조(70)내의 압력조절이 동시에 멈추고, 내측조(70)내는 고진공상태가 되도록 배기된다. 그리고, 내측조(70)내가 소정의 진공도에 도달한 후에, 양극 히터(41)를 하강시킨 후, 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올린다. 그 후, 기판반송장치(1005')에 의해 기판(10)은 기판반출구(23)로부터 기판반송실(1120)에 반출된 후, 다음의 처리조에 반송된다.
성막후, 이 비교를 위한 플라스마 CVD법의 시퀀스에 의해 기판(10)을 반송하면, 기판반송시에 기판(10)이 근접하는 샤워 플레이트(34)에 부착한 채이거나, 기판(10)이 샤워 플레이트(34)에 일단 부착한 후 기판재치대(44)에 떨어지거나, 또 떨어져서 기판(10)이 갈라져 버리거나 하여, 기판반송미스를 일으키는 일이 있었다. 또, 기판(10)이 가깝게 접지되어 있는 장소와 스파크를 일으키는 경우가 있으며, 그 결과 성막한 막이나 디바이스 패턴이 분산되어 버려 패턴결락이 발생하고, 그것에 의해 절연되어 있던 장소가 파괴되는 소위 절연파괴가 일어나는 경우도 있었다. 이와 같이, 기판반송미스나 절연파괴가 발생하는 경우가 있기 때문에, 비교를 위한 플라스마 CVD법의 시퀀스에서는 안정하여 성막 프로세스처리가 완성되지 않았다.
본 발명자들은 예의 연구한 결과, 상기 기판반송미스나 절연파괴가 성막중의 플라스마방전에 의해 기판(10)에 발생한 대전전하나, 기판(10)을 기판재치대(44)로부터 분리할 때에 발생하는 박리대전에 의해 기판(10)에 발생한 대전전하가 원인이 되어 초래되고 있는 기판을 기판재치대로부터 분리할 때에 발생하는 박리대전에 의해 기판에 발생한 대전전하가 원인이 되어 초래되고 있다고 생각하기에 이르었다. 즉, 성막중의 플라스마방전에 의해 기판재치대(44)상에 있어서 이미 대전하고 있으나, 기판(10)을 고진공중에서 기판승강핀(52)에 의해 기판재치대(44)로부터 분리하여 들어올리면, 박리대전이 발생하고, 기판(10)의 대전전위는 더욱 커지게 된다. 또한, 이 박리대전에 의한 대전전위는 기판승강핀(52)의 속도가 크면 클수록 커지고, 속도가 작을수록 그 만큼 억제된다. 이와 같이, 플라스마를 이용한 성막중에 기판이 대전하고, 그 후의 박리대전에 의해 기판(10)의 대전전위가 커지기 때문에, 정전기에 의해 기판반송시에 기판(10)이 근접하는 샤워 플레이트(34)에 부착한 채이거나, 기판(10)이 샤워 플례이트(34)에 일단 부착하고, 그 후 기판재치대(44)에 떨어지거나, 또 떨어져서 기판(10)이 갈라져 버리거나 하여, 기판반송미스를 일으키고 있었다. 또, 기판(10)에 대전한 전하는 어딘가 가깝게 접지되어 있는 장소로 피하려고 하기 때문에, 기판(10)이 가깝게 접지되어 있는 장소와 스파크를 일으켜, 그 결과 성막한 막이나 디바이스 패턴이 분산되어 버려 패턴결락이 발생하고, 그것에 의해 절연되어 있던 장소가 파괴되는 소위 절연파괴가 일어나고 있었다. 이와 같은 결함은 상술한 본 발명의 일실시의 형태의 플라스마 CVD법의 시퀀스를 채용하는 것으로 해결되었다.
다음에, 도1, 도2, 도6 및 도7을 참조하여 본 발명의 실시예 및 비교예에 대해서 설명한다.
[제1실시예]
도1, 2에 도시 한 플라스마 CVD장치(100)를 사용하여, 글라스기판(10)을 기판재치대(44)상에 재치하고, 양극 히터(41)를 상승한 상태에서, 배기관(61, 62, 63, 64, 64, 65), 압력조절 밸브(66) 및 고진공펌프(90)에 의해 소정의 배기량으로 내측조(7)내를 배기하는 한편, 반응가스 도입관(37)으로부터 소정의 유량으로 반응가스Si비 및 N2O를 내측조(70)내에 도입하여 내측조(70)내를 0.2∼1.5Torr의 압력으로 조압하면서, 고주파전원(84)에 의해 음극(30), 양극(40) 사이에 13.56MHz, 340W의 고주파전력을 인가하여 플라스마를 발생시켜서 글라스기판(10)상에 SiO2막의 성막을 행하였다.
성막에 필요한 소정의 시간이 경과하면, 고주파전력의 인가를 멈추었으나, 반응가스의 도입, 배기 또는 내측조(70)내의 압력조절은 계속했다. 이 경우, 반응가스는 성막시의 것과 동일한 것으로 하고, 또 반응가스의 유량도 성막시의 것과 동일한 것으로 했다. 또, 내측조(70)내의 배기량도 성막시와 동일한 것으로 하고, 조절된 압력도 성막시와 동일한 것으로 했다.
이 상태에서, 고주파의 인가를 멈춘 직후부터 양극 히터(41)를 하강시킨 후, 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올렸다. 이 경우, 양극 히터(41)의 하강이 끝나는 데에 1∼2초 정도의 시간을 요하고, 기판승강핀(52)의 상승이 끝나는 데에 마찬가지로 1∼2초 정도의 시간을 요했다.
기판(10)이 들어올려진 후에, 반응가스의 공급을 멈추고, 내측조(70)내의 압력조절도 멈추고, 내측조(70) 및 외측조(20)내를 진공배기하여 고진공으로 했다.
그 후, 반송 로봇(1005')에 의해 글라스기판(10)을 기판반출구(23)로부터 기판반송실(1120 ) 에 반출했다. 그 후, 글라스기판(10)의 표면전위 의 측정을 행했다.
또, 한편으로는 비교예로서 도7에 도시한 바와 같이 SiO2막의 성막에 필요한 소정의 시간이 경과한 시점에서, 고주파전력의 인가, 반응가스의 도입, 내측조(70)내의 압력조절을 동시에 멈추고, 내측조(70)내를 고진공으로 배기했다.
이 상태에서, 양극 히터(41)를 하강시킨 후, 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올렸다.
기판이 들어올려진 후에, 반송 로봇(1005')에 의해 글라스기판(10)을 기판반출구(23)로부터 기판반송실(1120)로 반출했다. 그 후, 글라스기판(10)의 표면전위의 측정을 행했다.
그리고, 본 발명의 제1 실시예의 시퀀스를 이용하여 성막한 경우의 글라스기판(10)의 표면전위와, 비교예의 시퀀스를 이용하여 성막한 경우의 글라스기판(10)의 표면전위의 비교를 행했다.
이와 같은 표면전위의 비교를 본 발명의 제1 실시예의 시퀀스 및 비교예의 시퀀스의 각각에 대해 각 20매의 글라스기판(10)에 대해서 반복하여 행했다. 그 결과를 도1에 도시했다
[표 1]
이와 같이, 비교예의 시퀀스에서는 표면전위는 -2kV이하였던 것에 대해서, 본 발명의 시퀀스의 경우는 표면전위는 -0.3kV이상으로, 분명하게 감소하고 있다.
또, 다른 압력조절의 압력이나 다른 반응가스의 유량으로 행하여도 마찬가지의 결과가 얻어졌다.
또한, 비쿄예의 시퀀스로 성막을 행한 경우에는, 성막층의 디바이스 패턴에 일부파손에 의한 결락이 보였으나, 본 발명의 제1 실시예의 시퀀스로 성막을 행한 경우에는, 디바이스 패턴의 결락은 전혀 발생하지 않았다.
[제2 실시예 내지 제10 실시예]
다음에, 이하 설명하는 제1 내지 제3의 각 시퀀스에 각각 의거하여, 다양한 반응가스를 이용하여 다양한 플라스마처리를 행하는 제2 내지 제10 실시예에 대해서 설명한다.
우선, 도1, 도2 및 도6을 참조하여, 제1 내지 제3 시퀀스를 설명한다.
[제1 시퀀스]
플라스마 처리장치(100)를 사용하여, 글라스기판(10)을 기판재치대(44)상에 재치하고, 양극 히터(41)를 상승한 상태에서, 배기관(61, 62, 63, 64, 65), 압력조절 밸브(66) 및 고진공 펌프(90)에 의해 소정의 배기량으로 내측조(70)내를 배기하는 한편, 반응가스 도입관(37)으로부터 소정의 유량으로 소정의 반응가스를 내측조(70)내에 도입하여 내측조(70)내를 0.2∼1.5Torr의 압력으로 압력조절을 행하면서, 고주파전원(84)에 의해 음극(30), 양극(40) 사이에 13.56MHz, 340W의 고주파를 인가하여 플라스마를 발생시켜서 글라스기판(10)상에 플라스마처리를 행한다.
플라스마처리에 필요한 소정의 시간이 경과하면, 고주파전력의 인가를 멈추었으나, 반응가스의 도입 및 배기 또는 내측조(70)내의 압력조절은 계속했다. 이 경우, 반응가스는 플라스마 처리시의 것과 동일한 것으로 하고, 또 반응가스의 유량도 플라스마 처리시의 것과 동일한 것으로 했다. 또, 내측조(70)내의 배기량도 플라스마 처리시와 같은 것으로 하고, 조절된 압력도 플라스마 처리시와 동일하게 했다.
이 상태에서, 고주파전력의 인가를 멈춘 직후부터 양극 히터(41)를 하강시킨 후, 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올렸다. 단, 양극 히터(41)의 하강이 끝나는 데에 1∼2초 정도의 시간을 요하고, 기판승강핀(52)의 상승이 끝나는 데는 마찬가지로 1∼2초 정도의 시간을 요했다.
기판이 들어올려진 후에, 반응가스의 공급을 멈추고, 내측조(70)내의 압력조절도 멈추어, 내측조(70) 및 외측조(20)내를 진공배기하여 고진공으로 했다.
그 후, 반송 로봇(1005')에 의해 글라스기판(10)을 기판출구(23)로부터 기판반송실(1120)로 반출했다. 그 후, 글라스기판(10)의 표면전위의 측정을 행했다.
[제2 시퀀스]
플라스마처리를 행한 부분은, 제1 시퀀스와 동일하기 때문에, 설명을 생략한다.
플라스마처리에 필요한 소정의 시간이 경과하면, 고주파전력의 인가를 멈춤과 동시에 반응가스의 도입, 배기 및 내측조(70)내의 압력조절을 멈춘 상태에서, 고주파전력의 인가를 멈춘 직후부터 양극 히터(41)를 하강시킨 후, 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올렸다. 단, 양극 히터(41)의 하강이 끝나는 데에 1∼2초 징도의 시간을 요하고, 기판승강핀(52)의 상승이 끝나는 데에 마찬가지로 1∼2초 정도의 시간을 요했다.
기판이 들어올려진 후에, 내측조(70) 및 외측조(20)내를 진공배기하여 고진공으로 했다.
이것 이후의 순서에 대해서는 제1 시퀀스와 동일하므로, 설명을 생략한다.
[제3 시퀀스]
플라스마처리를 행하는 부분은 제1 시퀀스와 동일하므로, 설명을 생략한다.
플라스마에 필요한 소정의 시간이 경과하면, 고주파전력의 인가를 멈추고, 반응가스의 배기를 멈추어 내측조(70)내의 압력조절을 멈추고, 반응가스의 도입은 계속한 상태에서 고주파전력의 인가를 멈춘 직후부터, 양극 히터(41)를 하강시킨 후, 기판승강핀(52)을 상승시킴으로써 기판재치대(44)로부터 기판(10)을 분리하여 들어올렸다. 단, 양극히터(41)의 하강이 끝나는 데에 1∼2초 정도의 시간을 요하고, 기판승강핀(52)의 상승이 끝나는 데에 마찬가지로 1∼2초 정도의 시간을 요했다.
기판이 들어올려진 후에, 반응가스의 공급을 멈추고, 내측조(70) 및 외측조(20)내를 진공배기하여 고진공으로 했다.
이것 이후의 순서에 대해서는 제1 시퀀스와 동일하므로, 설명을 생략한다.
다음에, 상기 제1 내지 제3 시퀀스에 각각 의거한 제2 내지 제10 실시예를 설명한다.
[제2 실시예]
반응가스로서, SiH4또는 Si2H6가스(30∼100SCCM)와, N2O, CO2, CO 및 O2중의 어느 하나(300∼700SCCM)를 사용하여 상기 제1 내지 제3의 각 시퀀스에 각각 의거하여 산화실리콘막을 형성했다.
[제3 실시예]
반응가스로서, SiH4, Si2H6, SiF4, SiH2Cl2및 Si2F6의 어느 하나(50∼100SCCM)와, NH3, N2및 NF3가스중의 어느 하나(100∼400SCCM)와, 캐리어 가스로서 N2, Ar, He 및 H2가스중의 어느 하나(1SLM)을 사용하여 상기 제1 내지 제3의 각 시퀀스에 각각 의거하여 질화실리콘막을 형성했다.
[제4 실시예]
반응가스로서 , SiH4또는 Si2H6(50 ∼ 200SCCM)와, PH3가스(100∼500SCCM)를 사용하여 상기 제1 내지 제3 각 시퀀스에 각각 의거하여 n+-비결정질 실리콘막을 형성했다.
[제5 실시예]
반응가스로서, SiH4또는 Si2H6(50∼200SCCM)을 사용하여 상기 제1 내지 제3 각 시퀀스에 각각 의거하여 비결정질 실리콘막을 형성했다.
[제6 실시예]
반응가스로서, F2, SF6, NF3, CF4, C2F6, C3F8, CHF3, HCl, Cl2, BCl3및 CCl4가스중의 어느 하나의 가스(100∼10000SCCM)와, H2, He, N2, O2, NH3및 CO가스중의 어느 하나의 가스(100∼1000SCCM)를 사용하여, 상기 제l 내지 제3 각 시퀀스에 각각 의거하여, 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n+-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막중의 어느 하나의 막을 에칭처리했다. 또한, 에칭시의 내측조(70)내의 압력을 0.1∼10Torr로 조압하고, 200W∼10kW의 고주파를 인가했다.
[제7 실시예]
반응가스로서 HI가스(100∼1000SCCM)를 사용하여, 상기 제1 내지 제3의 각 시퀀스에 각각 의거하여, ITO막을 에칭처리했다. 또한, 에칭시의 내측조(70)내의 압력을 0.1∼10Torr로 압력조절하고, 200W∼10kW의 고주파를 인가했다.
[제8 실시예]
반응가스로서, HCl, Cl2, BCl3및 CCl4가스중의 어느 하나의 가스(100∼1000SCCM)를 사용하여, 상기 제1 내지 제3 각 시퀀스에 각각 의거하여 Al막을 에칭처리했다. 또한, 에칭시의 내측조(70)내의 압력을 0.1~10Torr로 압력조절하고, 200W∼10kW의 고주파를 인가했다.
[제9 실시예]
가스로서, Ar, He, Kr및 Xe중의 어느 하나의 가스(100∼1000SCCM)를 사용하고, 또 타겟으로서 Al을 사용하여, 상기 제1 내지 제2의 각 시퀀스에 각각 의거 하여 스퍼터처리했다. 또한, 스퍼터시의 내측조(70)내의 압력을 0.1Torr 전후로 압력조절하고, 200W∼10kW의 고주파를 인가했다.
[제10 실시예]
반응가스로서, O2, NF3및 H2O중의 어느 하나의 가스(100∼1000SCCM)를 사용하여, 상기 제1 내지 제3 각 시퀀스에 각각 의거하여 포토 레지스트의 애칭처리를 행했다. 또한, 에칭시의 내측조(70)내의 압력을 0.1∼10Torr로 압력조절하고, 200W∼10kW의 고주파를 인가했다.
본 발명의 제2 내지 제10 실시예에 있어서는, 플라스마 처리후의 글라스기판(10)의 표면전위는 -0.3kV이상(절대값으로 0.3kV이하)으로 유지되어 있고, 유효하게 대전제거되었다.
본 발명에 의하면, 플라스마 처리장치의 구조를 종래와 변함없이, 용이하게 플라스마 처리종료후의 기판반송미스나 절연파괴를 방지할 수 있다.

Claims (43)

  1. 처리실내의 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 상기 처리실내의 기판재치대에 재치된 기판에 플라스마처리를 행하는 공정과,
    상기 고주파의 인가를 멈춘 후, 상기 처리실내에 있어서 상기 기판을 소정의 가스분위기중에 소정시간 노출시키는 공정과,
    그 후, 상기 기판을 상기 처리실로부터 반출하는 공정을 가지는 것을 특징으로 하는 플라스마 처리방법.
  2. 제1항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 소정의 가스분위기중에서 상기 기판의 대전을 제거하는 공정인 것을 특징으로 하는 플라스마 처리방법.
  3. 제1항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 소정의 가스분위기중에서 상기 기판을 상기 기판재치대로부터 분리하는 공정을 포함하고 있는 것을 특징으로 하는 플라스마 처리방법.
  4. 제1항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 처리실내에 있어서 상기 기판을 상기 기판재치대에 재치한 상태로, 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정이고, 그 후, 상기 처리실내에 있어서 상기 기판을 상기 기판재치대로부터 분리하는 공정을 또한 가지는 것을 특징으로 하는 플라스마 처리방법.
  5. 제4항에 있어서, 상기 기판을 상기 기판재치대 분리하는 공정을, 제2 소정의 가스분위기중에서 행하는 것을 특징으로 하는 플라스마 처리방법.
  6. 제5항에 있어서, 상기 제2 소정의 가스분위기의 가스가 상기 소정의 분위기의 가스와 동일한 것을 특징으로 하는 플라스마 처리방법.
  7. 제1항 내지 제6항의 어느 한 항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 고주파의 인가를 멈춘 직후에 상기 처리실내에 있어서 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정인 것을 특징으로 하는 플라스마 처리방법.
  8. 제1항 내지 제7항의 어느 한 항에 있어서, 상기 기판의 플라스마처리를 행하는 상기 공정이 상기 처리실내에 가스를 유입함과 동시에 상기 처리실로부터 가스를 배기하여 상기 처리실내를 소정의 압력으로 제어하면서, 상기 처리실내의 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 상기 처리실내의 상기 기판재치대에 재치된 상기 기판의 플라스마처리를 행하는 공정이고, 상기 기판을 소정의 가스분위기중에 쬐는 상기 공정이 상기 플라스마처리후 상기 고주파의 인가를 멈추고, 상기 처리실내에 유입하는 가스의 적어도 1종류의 가스의 유입을 상기 고주파의 인가를 멈춘 후에도 계속하면서 상기 처리실내에 있어서 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정인 것을 특징으로 하는 플라스마 처리방법.
  9. 제8항에 있어서, 상기 처리실내에 유입하는 가스중의 적어도 1종류의 가스의 유입을 계속하면서 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 플라스마처리후 상기 고주파의 인가를 멈추고, 상기 플라스마처리시에 상기 처리실내에 유입하는 가스중 모든 가스의 유입을 상기 고주파의 인가를 멈춘 후에도 계속하면서 상기 처리실내에 있어서 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정인 것을 특징으로 하는 플라스마 처리방법.
  10. 제1항 내지 제9항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 상기 처리실내에 있어서 가스를 계속 유입하는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  11. 제1항 내지 제9항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 상기 처리실로부터의 배기를 멈춘 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  12. 제1항 내지 제11항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 압력제어된 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  13. 제12항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 플라스마 처리시와 같은 압력으로 제어된 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  14. 제1항 내지 제13항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 플라스마 처리시와 같은 유량으로 제어된 가스를 유입하는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  15. 제12항 내지 제14항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 압력을 0.2 내지 1.5Torr로 제어된 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  16. 제1항 내지 제15항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 제2 소정의 가스분위기가 플라스마 처리시에 사용한 가스중의 1종류 이상의 가스로 이루어지는 것을 특징으로 하는 플라스마 처리방법.
  17. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 제2 소정의 가스분위기가 적어도 1종류의 환원성가스를 포함하는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  18. 제1항 내지 제17항의 어느 한 항에 있어서, 소정의 가스분위기 및 제2 소정의 가스분위기가 산소원자를 그 구조식중에 가지는 가스를 적어도 1종류 포함하는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  19. 제1항 내지 제18항의 어느 한 항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 상기 기판상으로의 성막인 것을 특징으로 하는 플라스마 처리방법.
  20. 제1항 내지 제19항의 어느 한 항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 상기 기판상으로의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 성막된 막의 성분원자를 그 구조식중에 포함하는 가스로 이루어지는 분위기인 것을 특징으로 하는 플라스마 처리방법.
  21. 제20항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 산화실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 산소원자를 그 구조식중에 가지는 가스 및 Si원자 및 산소원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라스마 처리방법.
  22. 제20항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 질화실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 질소원자를 그 구조식중에 가지는 가스 및 Si원자 및 질소원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라스마 처리방법.
  23. 제20항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 13족 또는 15족의 불순물이 도핑된 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 상기 비결정질 실리콘막이 13족의 불순물이 도핑된 비결정질 실리콘막인 경우에는 Si원자를 그 구조식중에 가지는 가스, 13족의 원자를 그 구조식중에 가지는 가스 및 Si원자 및 13족의 원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기이고, 상기 비결정질 실리콘막이 15족의 불순물이 도핑된 비결정질 실리콘막인 것인 경우에는, Si원자를 그 구조식중에 가지는 가스, 15족의 원자를 그 구조식중에 가지는 가스 및 Si원자 및 15족의 원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라스마 처리방법.
  24. 제20항 또는 제23항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 n형의 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 인원자를 그 구조식중에 가지는 가스 및 Si원자 및 인원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라스마 처리방법.
  25. 제20항에 있어서, 상기 플라스마처리가 플라스마 CVD법에 의한 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스로 이루어지는 분위기인 것을 특징으로 하는 플라스마 처리방법.
  26. 제20항 내지 제25항의 어느 한 항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 불활성가스 또는 수소가스를 또한 포함하는 것을 특징으로 하는 플라스마 처리방법.
  27. 제21항 내지 제26항의 어느 한 항에 있어서, Si원자를 그 구조식중에 가지는 상기 가스가 SinH2n+2(n은 1이상의 정수)로 표시되는 가스인 것을 특징으로 하는 플라스마 처리방법.
  28. 제27항에 있어서, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 H2가스를 또한 포함하는 것을 특징으로 하는 플라스마 처리방법.
  29. 제22항에 있어서, Si원자를 그 구조식중에 가지는 상기 가스가 SiF4, SiH2Cl2및 Si2F6으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 플라스마 처리방법.
  30. 제21항에 있어서, 산소원자를 그 구조식중에 가지는 상기 가스가 N2O, CO2, CO 및 O2로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 플라스마 처리방법.
  31. 제22항에 있어서, 질소원자를 그 구조식중에 가지는 상기 가스가 NH3, N2및 F3으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 플라스마 처리방법.
  32. 제24항에 있어서, 인원자를 그 구조식중에 가지는 상기 가스가 PH3인 것을 특징으로 하는 플라스마 처리방법.
  33. 제1항 내지 제32항의 어느 한 항에 있어서, 상기 고주파의 인가를 멈춘 후, 상기 소정의 가스분위기에 다시 희석가스를 첨가한 것을 특징으로 하는 플라스마 처리방법.
  34. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 플라스마처리가 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n+-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막중의 어느 하나의 막을 F원자를 그 구조식중에 가지는 가스와, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 F원자를 그 구조식중에 가지는 가스, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  35. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 플라스마처리가 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n+-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막중의 어느 하나의 막을 Cl원자를 그 구조식중에 가지는 가스와, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Cl원자를 그 구조식중에 가지는 가스, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  36. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 플라스마처리가 ITO막을 HI가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 HI가스분위기인 것을 특징으로 히는 플라스마 처리방법.
  37. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 플라스마처리가 Al막을 HCl, Cl2, BCl3및 CCl4로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 HCl, Cl2, BCl3및 CCl4로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  38. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 플라스마처리가 Ar, He, Kr 및 Xe로 이루어지는 군으로부터 선택되는 l종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하는 스퍼터처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 Ar, He Kr, Xe로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  39. 제1항 내지 제16항의 어느 한 항에 있어서, 상기 플라스마처리가 포토 레지스트를 O2, NF3및 H2O로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라스마를 이용하여 애싱하는 처리이고, 상기 소정의 가스분위기 및 상기 제2 소정의 가스분위기가 O2, NF3및 H2O로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라스마 처리방법.
  40. 기판에 플라스마처리를 실시하는 처리실과, 상기 처리실내에 고주파를 인가가능한 고주파인가용 전극과, 상기 처리실내에 설치된 기관재치수단과, 상기 처리실내에 연통하는 가스공급관과, 상기 처리실내에 연통하는 배기관과, 상기 가스공급관에 의해 상기 처리실내에 플라스마 처리용 가스를 공급함과 동시에 상기 배기관으로부터 상기 가스를 배기하면서 상기 처리실내를 소정의 감압으로 제어하면서 상기 전극에 의해 상기 가스에 고주파를 인가하여 상기 기판재치대에 재치된 기판을 소정시간 플라스마처리하고, 계속해서 상기 고주파의 인가를 멈춘 후, 상기 플라스마 처리시에 사용한 플라스마 처리용 가스의 구조식중에 포함되는 원자가 그 구조식중에 포함되는 가스를 유입하도록 제어하는 컨트롤러를 가지는 것을 특징으로 하는 플라스마 처리장치.
  41. 제40항에 있어서, 상기 고주파 인가용 전극이 상기 처리실내에 서로 평행하게 설치된 평행평판형의 2개의 전극이고, 상기 기판재치수단이 상기 2개의 전극중의 한쪽에 설치되고, 또는 상기 기판재치수단이 상기 제2 전극중의 상기 한쪽의 전극인 것을 특징으로 하는 플라스마 처리장치.
  42. 제40항 또는 제4l항에 있어서, 상기 기판재치수단으로부터 상기 기판을 이탈시키는 기판이탈수단을 또한 가지고, 상기 컨트롤러가 상기 고주파의 인가를 멈춘 후, 플라스마 처리시에 사용한 플라스마 처리용 가스의 구조식중에 포함되는 원자가 그 구조식중에 포함되는 가스를 소정시간 유입한 후, 기판이탈수단에 의해 상기 기판을 상기 기판재치수단으로부터 이탈하도록 제어하는 컨트롤러인 것을 특징으로 하는 플라스마 처리장치.
  43. 제40항 또는 제41항에 있어서, 상기 기판재치수단으로부터 상기 기판을 이탈시키는 기판이탈수단을 또한 가지고, 상기 컨트롤러가 상기 고주파의 인가를 멈춘 후에도, 플라스마 처리시에 사용한 상기 플라스마 처리용 가스를 계속해서 상기 플라스마 공급관에 의해 상기 처리실내에 계속 공급함과 동시에, 상기 고주파의 인가를 멈춘 직후부터 또는 상기 고주파의 인가를 멈추고나서 소정의 시간이 경과한 후에, 상기 기판이탈수단에 의해 상기 기판을 상기 기판재치수단으로부터 이탈하도록 제어하는 컨트롤러인 것을 특징으로 하는 플라스마 처리장치.
KR1019960072519A 1995-12-28 1996-12-26 플라스마처리방법및플라스마처리장치 KR100267418B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-352700 1995-12-28
JP35270095 1995-12-28

Publications (2)

Publication Number Publication Date
KR970052776A KR970052776A (ko) 1997-07-29
KR100267418B1 true KR100267418B1 (ko) 2000-10-16

Family

ID=18425841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960072519A KR100267418B1 (ko) 1995-12-28 1996-12-26 플라스마처리방법및플라스마처리장치

Country Status (3)

Country Link
US (1) US6194037B1 (ko)
KR (1) KR100267418B1 (ko)
TW (1) TW451000B (ko)

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100320007B1 (ko) * 1998-03-13 2002-01-10 니시무로 타이죠 표시장치용 어레이기판의 제조방법
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
JP2002075977A (ja) * 2000-08-30 2002-03-15 Applied Materials Inc 成膜方法及び成膜装置
KR100945321B1 (ko) 2001-02-09 2010-03-08 도쿄엘렉트론가부시키가이샤 성막 장치
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030168430A1 (en) * 2002-03-11 2003-09-11 Macronix International Co., Ltd. Etching method with less waste gases
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3970815B2 (ja) * 2002-11-12 2007-09-05 シャープ株式会社 半導体素子製造装置
WO2004061919A1 (en) * 2002-12-23 2004-07-22 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100628216B1 (ko) * 2004-12-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7666319B1 (en) * 2005-11-01 2010-02-23 Miradia Inc. Semiconductor etching process to release single crystal silicon mirrors
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
KR100764360B1 (ko) * 2006-04-28 2007-10-08 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP4925314B2 (ja) * 2007-05-30 2012-04-25 カシオ計算機株式会社 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
US8759228B2 (en) * 2007-10-09 2014-06-24 Micron Technology, Inc. Chemistry and compositions for manufacturing integrated circuits
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151688A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Method to prevent thin spot in large size system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103426807B (zh) * 2012-05-18 2016-04-13 中国地质大学(北京) 一种配置取放装置和调节工件的位置的半导体刻蚀装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10312102B2 (en) * 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10446405B2 (en) 2017-02-23 2019-10-15 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
KR102537097B1 (ko) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 유사 원자층 에칭 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
CN109881187A (zh) * 2019-03-06 2019-06-14 北京捷造光电技术有限公司 一种气相沉积腔室
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04263423A (ja) * 1991-02-18 1992-09-18 Kokusai Electric Co Ltd 連続処理エッチング方法及びその装置
JPH07335709A (ja) * 1994-06-14 1995-12-22 Hitachi Ltd 真空処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282268A (en) * 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS5747711A (en) * 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
US4361595A (en) * 1981-01-28 1982-11-30 Rca Corporation Method for preparing an abrasive lapping disc
JPS60150632A (ja) 1984-01-18 1985-08-08 Tokuda Seisakusho Ltd 被処理物の離脱装置
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US4869922A (en) * 1986-01-24 1989-09-26 Ausimont S.P.A. Method of coating with polyfluorocarbons
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5098865A (en) * 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JP3170849B2 (ja) * 1992-03-31 2001-05-28 松下電器産業株式会社 ドライエッチング方法
US5254497A (en) * 1992-07-06 1993-10-19 Taiwan Semiconductor Manufacturing Company Method of eliminating degradation of a multilayer metallurgy/insulator structure of a VLSI integrated circuit
JPH06188305A (ja) 1992-12-17 1994-07-08 Tokyo Electron Ltd 被吸着体の離脱装置および被吸着体の離脱方法およびプラズマ処理装置
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
US5441768A (en) * 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
US5653812A (en) * 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04263423A (ja) * 1991-02-18 1992-09-18 Kokusai Electric Co Ltd 連続処理エッチング方法及びその装置
JPH07335709A (ja) * 1994-06-14 1995-12-22 Hitachi Ltd 真空処理装置

Also Published As

Publication number Publication date
TW451000B (en) 2001-08-21
KR970052776A (ko) 1997-07-29
US6194037B1 (en) 2001-02-27

Similar Documents

Publication Publication Date Title
KR100267418B1 (ko) 플라스마처리방법및플라스마처리장치
US7223702B2 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
EP0630990B1 (en) Method of limiting sticking of body to a susceptor in a deposition treatment
US4838990A (en) Method for plasma etching tungsten
US4908095A (en) Etching device, and etching method
JP3140068B2 (ja) クリーニング方法
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
JP2009038155A (ja) プラズマ処理装置
JPH09129612A (ja) エッチングガス及びエッチング方法
KR101246443B1 (ko) 금속계막의 성막 방법 및 기억 매체
US6488863B2 (en) Plasma etching method
US6596123B1 (en) Method and apparatus for cleaning a semiconductor wafer processing system
US20180330930A1 (en) Method of cleaning plasma processing apparatus
JPH11345778A (ja) 成膜装置のクリーニング方法及びそのクリーニング機構
JP4656364B2 (ja) プラズマ処理方法
CN109778140B (zh) 清洁方法和成膜方法
JP4126517B2 (ja) 気相加工装置
TWI756424B (zh) 電漿處理裝置之洗淨方法
JP2869384B2 (ja) プラズマ処理方法
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
JP3754157B2 (ja) プラズマ処理方法およびプラズマ処理装置
JPH07147273A (ja) エッチング処理方法
JP2740789B2 (ja) 処理方法
KR20030074418A (ko) 기판 처리 방법 및 장치
EP0504758B1 (en) Plasma etching method for silicon containing layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090623

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee