TW451000B - Plasma treatment method and apparatus thereof - Google Patents

Plasma treatment method and apparatus thereof Download PDF

Info

Publication number
TW451000B
TW451000B TW085116163A TW85116163A TW451000B TW 451000 B TW451000 B TW 451000B TW 085116163 A TW085116163 A TW 085116163A TW 85116163 A TW85116163 A TW 85116163A TW 451000 B TW451000 B TW 451000B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
specified
plasma
gas environment
Prior art date
Application number
TW085116163A
Other languages
English (en)
Inventor
Masato Terasaki
Kazunori Tsutsuguchi
Original Assignee
Kokusai Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Co Ltd filed Critical Kokusai Electric Co Ltd
Application granted granted Critical
Publication of TW451000B publication Critical patent/TW451000B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

輕濟部中央揉準局負工消费合作社印装
_ 办t 0 〇 Q 公告本 玉、發明説明(1 ) 〔'發明之背景〕 1.發明之技術領域 本發明有鬭於霣漿處理方法及電漿處理裝置,尤其有 鬭於半導體元件或液晶潁示元件(LCD)之製造所使用之 霣赛 CVD(Cheaical Vapor Deposition)法及電漿 CVD裝 置。 2 .背景技術 在習知之霉漿CVD法中,例如,利用指定之氣體邊將 處理室内調鼷成指定之匾力,邊利用高頻霣源将高頻霣 力施加在陰棰和陽搔之間來産生鼋槳藉以對被装載在陽 極饀基板裝載台上之基板進行成膜,在經遇成膜所霈要 之指定時間之後,同時停止高頻轚力之施加和反鼴氣鼉 之導入及處理室内之諏壓,經.由排氣使處理室内成為高 离空狀態,在處理室内逹到指定之臭空度後,將基板播 起使其離開基板裝載台,然後利用搬送機器人從處理室 中搬出基板。 但是,在成禊後,當利用上述習知之霣漿CVD法之程 序來拥送基板時,基板持仍附箸於靠近之陰極、基板暫 時附著在陰槿,其後落在基板裝載台,又落下之基板會 破裂引起基板級送錯誤》又,與基板附近被接地場所有 畤會引起火花,其结果,成膜後之膜或圓.型裝置被飛散 而産生圃型欠缺,由於此所绝線之場所被破壤,亦有發 生所諝绝線破壞之慵況》如此,因會有發生基板搬送錯 誤或绝续破壤之場合,在習知之霣漿CVD法之ϋ序中,不 -3 - 本纸張尺度適用中國國家標準(CNS ) Α4说格(210X297公釐) ί 裝 ^ 訂 ^ -(^ - * (請先閲讀背面之注意事項再填寫本頁) 經濟部中夬橾準局貝工消费合作社印裂 4 5 10 0ο Α7 Β7五、發明説明(2 ) 能穩定的處理成膜過程· 〔發明之概要〕 因而,本發明之目的,像提供一種電漿處理方法和電 槳處瑪裝置.可以防止轚漿處理結束後之基板播送錯誤 或绝揉破琪〇 本發明人等致力研究之結果,認為上述之基板播送錯 誤或绝鐮破壤之引起原因是由於成蹊中之轚漿放轚在基 板産生帶轚霣荷,或使基板脱離基板裝載台時所産生之 剝皤帶轚而在基板産生帶電霣荷•亦即,由於成腰中之 電菝放《,使基板在基板装載台上雖已有帶電,當基板 在高真空中從基板裝載台脱離而搬起畤,産生剁離帶霣 使基板之帶電霣位變為更大。再者,由於該剝離帚轚所 産生之帶霣霣位,隨著基板之播起速度愈大朗愈大,假 如速度小時則可以抑制此份。如此,由於霣漿使基板帶 霣,並由剝離帶霣使基板之帶霣霣位增大,所以由於靜 電,在基板搬送時,基板仍以持附著於附近之陰棰,或 基板暫時附著在陰極.其後落在基板裝載台,又,落下 之基板會發生破裂和引起基板拥送錯誤。又,基板所帶 霣霣荷,因會釋放於附近所接地之地方,所以會與基板 -,Ί ι ' 附近所接地之地方引起火花,其结果,成膜後之膜或裝 置圏型會被飛掉,産生画型欠缺,因此在所绝錄之地方 被破壤引起有所諝之绝錁破 本發明根據上述以實地觀察得到之知雜所實施者》 依照本發明申請專利範匾第1項, (請先《讀背面之注^•項再填寫本頁) 本紙乐尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) ^510〇〇 a? B7 it濟部中央揉準局哭工消费合作社印製 五、發明説明 ( 3 ) 1 1 提 供 一 種 電 漿 處 理 方 法 I 其 特 撖 具 備 之 X 程 包 含 有 ; 1 L 1 利 用 對 處 理 室 内 之 氣 醴 施 加 高 頻 用 所 産 生 電 漿 來 對 被 1 • > 装 載 在 上 述 處 理 室 内 基 板 裝 載 台 上 之 基 板 進 行 霉 漿 處 理; 請 1 先 在 停 止 上 述 高 頻 之 施 加 後 » 在 上 述 處 理 室 ή 使 上 述 基 閲 讀 1 1 板 以 指 定 之 氣 m 琛 塊 中 曝 露 指 定 之 時 間 背 面 1 1 之 1 1 其 後 • 從 上 述 處 理 室 中 將 上 述 之 基 板 m 出 〇 注 ί 1 本 發 明 人 等 發 現 在 停 止 高 頻 之 施 加 後 由 於 在 處 理 室 項 再 1 内 使 基 板 在 指 定 之 m m 琛 境 中 曝 η 指 定 之 時 間 t 可 以 來 % 窝 f k 本 衣 I 除 去 轚 m 處 理 中 由 轚 腠 放 電 在 基 板 所 産 生 之 帶 霣 電 荷 〇 頁 1 I 又 依 照 本 發 明 之 申 請 専 利 範 圍 第 2 項 P 傜 提 供 申 請 l 1 1 專 利 範 園 第 1 項 之 霣 槳 處 理 方 法 « 其 中 該 基 板 m η 在 上 1 1 述 指 定 之 氣 體 琛 境 中 之 上 述 工 程 9 在 上 述 指 定 之 氣 讎 環 1 訂 境 中 除 去 上 述 基 板 之 帶 霣 〇 1 又 1 依 照 本 發 明 之 $ 請 専 利 範 鼷 第 3 項 % 提 供 串 請 1 專 利 範 m 第 1 項 之 電 槳 處 理 方 法 t 其 中 該 基 板 η 在 上 I 述 指 定 之 氣 臞 琛 境 中 之 上 述 工 程 « 包 含 有 在 上 述 指 定 之 1 I m 釀 琛 境 中 使 上 述 基 板 從 基 板 裝 載 台 脱 離 之 工 程 0 本 發 i I 明 等 認 為 依 照 逭 種 方 式 > 在 指 定 之 氣 體 琛 境 中 使 基 板 從 1 1 1 基 板 裝 載 台 脱 離 時 i 可 以 除 去 抑 制 或 防 止 剝 離 琯 霣 0 1 1 又 » 依 照 本 發 明 之 串 禳 専 利 範 圍 第 4 項 • 你 提 供 申 嫌 1 1 專 利 範 圍 第 1 項 之 霣 粲 處 理 方 法 » 其 中 該 基 板 曝 霉 在 上 1 1 1 述 抱 定 之 氣 m 琛 境 中 之 工 程 » 1 在 上 述 處 理 室 内 於 上 述 基 板 被 裝 載 在 上 述 基 板 裝 載 台 A 1 之 狀 應 t 使 上 逑 基 板 在 上 述 5 指 定 之 氣 醴 琢 塊 中 曝 露 上 述 1 Ί 1 1 1 本紙張尺度適用中囷國家標率(CNS ) A4说格(2丨OX 2耵公釐) 經濟部中夬揉準局貝工消费合作社印掣 1451000 A7 B7五、發明説明(4 ) 之指定時間; 其後,更在上述處理室内使上述基板脱離上述基板裝 載台之工程。 Ψ 又,依照本發明之申諳專利範圔第5項,偽提供申誚 專利範匾第4項之電槳處理方法,其中使該基板脱雄上 述基板裝載台之上述工程,在第2指定之氣體琛境中進 行β 又,依照本發明之申請專利範園第6項,你提供申請 専利範困第5項之霣粲ft理方法,其中該第2指定之氣 醴璨塊中之氣齙與上述指定之氣醴琢境中之氣體相同β 在此時,最好是將基板裝載於基板裝載台之狀態使基 板在指定之氣鶄琛境中職露指定之畤两後,以連績在相 同之氣釅環境中使基板從基板裝載台脱離。 又,依照本發明之申請専利範翻第7項,傺提供申請 専利範圓第1圣6項中任何一項之霣漿處理方法,其中 該基板曝露在上述指定氣體之瑷塊中之上述工程,在上 述高頻之施加停止後於上述處理室内,使上述基板在上 述指定之氣體谓境中曝露指定之時間。 又,依照本發明之申誚專利範騸第8項,你提供申請 專利範釀第1至7項中任何一項之霣槳處理方法,其中 用以進行上述基板霣漀處理之上述工程, 使氣臛流入上述處.理室内並使氣體從上述處理室排氣 •藉以將上逑處理室内控制成具有指定之壓力,對上述 處理室内之氣腰施加高頻利用所産生之霣漦來對被裝載 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中固困家樣準(CNS >八4規格(2丨〇'犬297公釐) 4 5 1 〇 0 0 A7 B7 經濟-夬揉率扃貝工消费合作社印装 ^^7^月说明 ( 5 ) 1 1 在 上 述 處 理 室 内 之 上 述 基 板 裝 載 台 之 上 述 基 板 進 行 電 漿 1 處 理 1 I 该 基 板 嚅 露 在 上 述 指 定 氣 龌 琿 境 中 之 上 述 X 程 » ^\ 請 1 先 1 在 上 述 霣 m 處 理 後 停 止 上 述 高 頻 之 施 加 » 停 止 上 逑 閲 讀 1 1 -高 頻 之 施 加 後 使 流 入 上 述 處 理 室 内 氣 鱷 之 至 少 一 種 之 背 面 之 1 1 氣 體 繼 續 流 入 • 並 在 上 述 處 理 室 内 使 上 述 基 板 在 上 述 指 注 1 1 定 之 氣 钃 琢 塊 中 釅 露 指 定 之 時 間 Ο · 争 項 再 1 如 此 > 又 需 要 停 止 高 頻 之 施 加 9 或 停 止 离 頻 之 施 加 之 % 寫 C 本 I 間 時 停 止 不 必 要 氣 m 之 供 給 » 不 霈 要 将 成 膜 所 使 用 之 氣 頁 1 I m 切 換 成 其 他 之 氣 醱 • 所 以 可 以 防 止 因 伴 隨 氣 體 切 換 所 1 1 I 産 生 之 畤 間 損 失 0 又 9 接 觭 處 理 室 或 基 板 之 氣 鼷 種 •類 乃 1 1 舆 轚 漿 處 理 時 相 同 1 所 以 不 耆 有 污 染 之 問 m * 再 者 9 下 1 訂 1 個 霣 漿 處 理 亦 可 以 以 良 好 之 再 現 性 來 進 行 又 f 氣 臛 琛 境 之 壓 力 亦 最 好 成 輿 成 m 時 相 同 1 ,| 又 * 依 照 本 發 明 之 串 請 専 利 範 園 第 9 項 9 提 供 串 讅 1 専 利 範 圍 第 8 項 之 霣 槳 處 理 方 法 其 中 使 流 入 該 處 理 室 1 内 之 m 體 中 至 少 之 一 種 氣 鼸 繼 鑛 流 入 9 並 使 上 逑 基 板 在 C 1 上 述 指 定 m 臛 之 糫 境 中 曝 篇 之 上 述 工 程 > * 1 I 在 上 述 霣 漿 處 理 後 停 止 上 述 高 頻 之 m 加 > 於 停 止 施 加 1 1 上 述 高 頻 之 後 * 亦 使 上 述 η 漿 處 理 時 流 入 上 逑 處 理 室 内 1 1 之 氣 體 中 所 有 之 氣 臞 繼 鑛 流 入 ., 在 上 述 處 理 室 内 使 上 述 1 I 基 板 在 上 述 指 定 之 氣 膿 琛 境 中 曝 S 於 上 述 之 指 定 時 間 0 1 1 如 此 r 則 只 m 要 停 止 高 頻 之 施 加 » 所 以 操 作 形 成 簡 m 早 者 〇 再 者 * 氣 黼 環 境 之 m 力 7 假 如 成 為 輿 成 膜 時 相 同 « 可 J 1 1 1 本紙張尺度適用中國國家梯準(CNS > A4規格(210X297公釐) 4510 0 0 A7 B7 五、發明説明(6 ) 以使操作更加簡單· 又,依照本發明之申請專利範園第項,僳提供申請 専利範面第1至9項中任何一項之電漿處理方法,其中 該指定之氣體瓚埔及上述第2指定之氣釅琛境,在上述 處理室内使氣體繼纗流動之氣體環境》 又,依照本發明之申諸專利範圍第11項•係提供申謫 專利範園第1至9項中任何一項之霣漿處理方法,其中 該指定之氣齷琛境及上逑第2 ft定之氣體環境,是停止 從上述處理室排氣之氣醴琛境〇 又,依照本發明之申講專利範圍第12項,供提供申請 専利範圍第1至11項中任何一項之電麋處理方法,其中 該指定之氣齷瓌境及上述第2指定之氣體瑷埔,是®力 被控制之氣糖琛境β 另外,依照本發明之申_専利範園第13項,像提供申 讅專利範園第12項之電漀處:理方法,其中該指定之氣體 琛境及上述第2指定之氣腰環境,是被控制成Ε力與霣 漿處理時相同之氣體環境》- 經濟部十央橾準局負工消费合作社印裝 (請先間讀背面之注意事項再填寫本頁) 又,依照本發明之申請專利範園第14項.你提供申請 專利範圍第1至13項中任何一項之霉漦處理方法,其中 該指定之氣鳢琛境及上述第2指定之氣睡琛埔,是被控 制流量輿霣漿處理時相同之氣體瓌境。如此,則可以使 氣體之操作容易〇 又,依照本發明之申請專利範圍第15項,傈提供申餹 専利範圍第12至14項中任何一項之電槳處理方法,其中 本紙張尺度適用中國S家標準(CNS ) Α4规格u丨Ο X 297公釐) 4 5 1 0 0 0 A7 B7 玉、發明説明(7 ) 該指定之氣鱺琛境及上逑^2指定氣體琛境,是被控制 成匾力為〇 · 2至1 . 5Torr之氣钃琛境•再者,此_力,在 霣棄處理為霣漿CVD之時,於利用«漿CVD法進行氧化砂 之成醭畤,尤其為較佳之範園。 又,依照本發明之申請専利範函第16項,你提供申_ 專利範覼第1至15項中任何一項之電漀處理方法,其中 該指定之氣匾琛境及上述第2之指定氣醴琛境,由霣聚 «理時所使用氣醱之1種以上之氣髑所形成。 依如此,因接觴於處理室或基板之氣體種類與轚漿處 理時相同,所以不會有污染之問篇,再者,下一肩霣漿 處理亦可以以良好之再現性進行。另外,氣驩琛境之應 力之最好成為舆成膜時相同》 又,依照本發明申謫専利範園第17項,镍提供申諳専 利範第1至16項中任何一項之霣聚處理方法,其中該 指定之氣驩琢境及上述第2指定之氣膿琿境,是包含有 至少為1種之邏原性氣《I之氣腰琛境。此種缠原性氣腰 最好使用UH 3、ΡΒ 3、Η 2、SiH等· 又,依照本發明之申鱅専利範醒第18項,你提供申請 専利範鼷第1至17項中任甸一項之霣橐處理方法.其中 經濟部中央橾準局貝工消费合作社印製 (請先閲讀背面之注^^項再填寫本頁) 該指定之氣證瓌境及上述第2指定之氣體環境,是包含 • * < 有至少為一種在構造式中具有氣原子氣髏之氣爨琛境· 在構造式中具有«原子之氣《例如可列舉〇20等。 又,依照本發明之申讅専利範圔第19項•僳提供申靖 專利範蘭第1至18項中任何一項之《槳處理方法,其中 -9 - 本紙張尺度適用中國困家揉率(CNS ) A4规格(2丨Ο X 297公釐) 經濟部中央橾率局WC工消費合作社印製 4 5 1 0 0 0 A7 B7 五、發明说明(8 ) 该霣漿處理,是利用電漿CVD法在上述之基板上進行成 膜。 另外,利用霣漿CVD法所成臃之膜,領如,在LCD之製 进時在Si02膜、SiN膜、非晶形矽膜、n+ -非晶形矽膜 等。其中待別在SiQi之膜和SiN膜之成膜時本盏明待別有 顧#效果β另外,在LCD之製造時,主要的是該基板使 用玻《基板。又,本發明亦適用於半導體元件之製造, 在逭種情況時主要你使用Si晶Η作為基板。 又,依照本發明之申謫專利範圍第20項,你fc供申諸 専利範画第1至19項中任钶一項之霣漿處理方法,其中 該轚漿處理是利用《漿CVD法在上述之基板上進行成膜: 上述指定之氣飆琛境及上述第2指定之氣臛環境,是 由構迪式包含有被成膜成分原子之氣醱所形成之氣鼸琛 境》 依如此,使基.板曝R在氣鼸琢境中{其中之氣臛在構 造式中包含有成膜後之膜成分原子),該氣鼸接觸於處 理室及基板,因氣體成分與成膜時相同,所以可以滅少 或不會有污染之問題,又,下一 fi之轚_资處理亦可以以 良好之再現性進行β 又,依照本發明之申請專利範圍第21項,傜提供申請 專利範圓第20項之霣菝處理方法,其中核霣槳處理是利 用電« CVD法進行氣化矽膜之成膜; 上述指定之氣體琛境及上述第2指定之氣體琛境,是 由Si原子在其構造式中具有之氣體,氧原子在其構造式 -10- 本紙張尺度遢用中國國家標準(CNS ) A4規格(2丨OX297公釐) (请先W讀背面之注意事項再填寫本頁) 訂 45 1 0 0 0 A7 __B7____ 五、發明説明(9 ) 中具有之氣讎,以及Si原子及氣原子在其構迪式中具有 之氣薩中至少一種以上氣讎所形成之氣醱琛境。 又,依照本發明之申《専利範歯第22項,供提供申請 専利輯醣第2 0項之電漿處理方法,其中該霣皴處理是利 用《滎CVD法進行氟化矽膜之成膜; 上迷指定之氣腥琛境及上述第2指定之氣體環境,是 由3種氣_是由Si原子在其構造式中具有之氣醴,氮原 子在其溝迪式中具有之氣髏,以及Si原子及氪原子在其 構造式中具有之氣體中至少一種以上氣醱所形成之氣鼸 瓌境。 又,依照本發明之申誚專利範園第2 3項,供提供申諳 專利範匾第20項之霉橐處理方法,其中該轚槳處理,是 利用«橐CVD法進行摻雜有13族或15族之不鈍物非晶形》 膜之成膜; 經濟部中央橾準扃貝工消费合作社印笨 上述弗定之瓤臞璨塊及上述第2指定之氣鼸環境,是 在上述之非晶形矽蹼為摻雑有13族不鈍物之非晶形矽膜 時,是在Si原子在其構造式中具有之氣S, 13族醭子在 其構造式中具有之氣艤,以及Si原子及13族廉子在其構 造式中具有之氣臞中至少一種以上氣臞所形成之氣醱琢 在上述非晶形矽膜為縿雜有15族之不純物非晶形矽膜 時,由Si原子在其構进式中具有之氣醱,15原子在其構 进式中具有之氣體,以及Si原子及15族原子在其清造式 中具有之氣醴中至少一種以上之氣龌所形成之氣«璨境。 -1 1-本張尺度適用中B國家揉率(CNS ) A4規格(210X297公釐) 45 1 0 0 0 Α7 Β7 經濟部中央揉率局貝工消费合作社印製 五、發明説明(10) 作為13族之不純物,例如列舉B•於此時•在構进式1 中具有13族之原子氣體最好使用82 He等❶ 作為15族之不鈍物*例如列舉? *As*於此畤,在構 迪式中具有15族原子之氣腰最好使用?83或AsB3 β 又,侬照本發明之申請專利範®第24項,偽提供申請 専利範醒第20或23項之電赛GSSI方'法 > 其中該理 ,是利用«漿CVD法進行η型之非晶形砂膜之成膜; 上述指定之氣體璨境及上述第2指定之氣醴環境*是 由Si原子在其檐迪式中具有之氣體*供原子在其溝造式 中具有之氣醱,以及si原子及辑原子在其構造式中具有 之氣釀中至少一種以上氣饈所形成之氣鼸環境》 作為構造式中具有磷原子之氣醱,最好使用PHa。又 ,此種方法特別缠用於η+型非晶形矽誤之成膜。 又,依照本發明之申請専利範_第25項,傜提供申謫 專利範圃第20至25項中任何一項之霣漿處理方法,其中 該《漿處理,是利用轚漿CVD法進行非晶形矽膜之成 膜; 上述指定之氣體琛境及上述第2指定之氣髅環境·,是 在構迪式中具有Si原子之氣臞所形成之氣髏琛境。 又.依照本發明之申鱭専利範圍第2 6項,傜提供申讅 専利範画第20至25項中任何一項之《«處理方法,其中 該指定之氣醱琛境及上述第2指定之氣醴琿境更包含有 惰性氣體或氫氣β 依如此,本發明亦可有效的逋用於使用被揞性氣體或 -12- (請先Μ讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家揉準(CNS )从说格(2丨0Χ297公釐) 經濟部中央揉準局員工消費合作社印製 4 5 1 0 0 〇 A7 B7 五、發明説明() 氰氣稀釋之反應氣髓作為原料氣腰ο另,該惟性氣髓供 使用》2氣體或He、He、Ar、Kr、Xe等之稀轉氣鱧β 又,依照本發明之申請專利範面第2 7項,换提供申讁 専利範圈第21至26項中任何一項之窜漿處理古法,其中 在構造式中具有Si原子之上述氣驩,是以Si (η為 η 2η+2 1以上之整數)表示之氣腰β 例如,在構造式中具有Si原子之氣醱為SiH.4時,於 停止高頻之施加後,可以仍保持於SiH4之氣髏琿境, 亦可以切換為Si2B6或Si3H8 ,此等氣體是以Si Η 之構造式表示之氣髅〇 又,依照本發明之申請專利範園第2 8項,你提供申請 專利範國第27項之轚槳處理方法,其中該上述指定之氣 醱環境及±述第2指定之氣醴琛境更包含有Η2氣龌。 例如,成膜用之氣饈為以Si Η 之構造式形成之 η 2η+2 氣體時,Si有肋於成膜,Η原子之一部份被成Η2而排 氣》因而由SinH 2η+2之構造式所成之氣體即使添加Η2 氣體時亦不會有任何間題。 又,依照本發明之申請専利範圍第2 9項,傜提供申請 專利範画第22項之電漿處理方法,其中在構造式中具有 Si原子之上述氣釀,是遘自SiF4 , SiH2 Cl2及Si2 Fb 所成群組中1種以上之氣鱧》 _氰化矽膜之成膜,可以使用SiF4SiB2Cl2或Si2Fe ,在此畤,其構造式中具有Si原子之氣體,最好使用S 自SiF4 ,SiH2 Cl2及Si2 所成群组中1種以上之氣 -13- 本紙張尺度逍用t國8家標準(CNS ) A4规格(2丨0X297公釐) (請先閲讀背面之注意事項再填寫本頁) 订 451000 五、發明説明(12 ) m 〇 又,依照本發明之申讅専利鮪圍第3D項,偽提供申誧 專利範围第2 1項之《漿處理方法,其中在構造式中具有 氣原子之上述氣駸,是苗自U20, C02, C0及02所成 群组中1種以上之氣體。 在氣化矽膜之成禊時,因最好使用N2〇、co2、CO或 〇2 ,所以在此時,其構造式中具有氣原子之氣匾,最 好使用«自N 2 0、CO 2、C0及0 2所成群姐中1種以上 之氣艨· 又,依照本發明之申請專利範圃第31項,俱提供申誧 專利範困第22項之電漿處理方法,其中在構造式中具有 氮原子之上述氣钃,是S自HH3 ,丨2和11丨3所成群组 中1種以上之氣豔。 在氰化矽膜之成膜時,因最好使用NH3、N2或NF3 ,所以在此時,其構造式中具有»原子之氣腰,最好使 用萑自nh3、N2及NF3所成群組中1獼以上之氣髏。 又,依照本發明之申請專利範鼷第3 2項,傺提供申鯖 專利輅鼷第24項之電漿處理方法,其中在構造式中具有 磷縻子之上述氣髏為PH3。 經濟部t央揉率局wc工消费合作社印装 (請先聞讀背面之注f項再填寫本頁) 因η型之摻雜物最好使用P,所以在此種情況畤,其 構造式中具有磷原子之氣鼷最好使用ΡΗ3。 又,依照本發明之申請專利範圍第3 3項,僳提供申請 專利範醑第1項至32項中任何一項之電衆處理方法,其 中在停止上述高頻之施加後,更將稀有氣醴施加於上述 -14- 本紙張尺度適用中國國家橾準(CNS > A4规格(2l〇X297公釐) A7 B7 4 5 1 0 0 0 ;、發明説明(U) 指定之氣體瑷境》 (請先《讀背面之注$項再填寫本頁). 又,依照本發明之申讅專利範«第34項,你提供申請 奪利範圍第1項至16項中任何一項之«漀處理方法,其 中該霣漿處理是蝕刻處理,對於其構造式中具有F原子 之氣體,和蘧自Ii2,He, Ha, 02, NlUftCO所成群 組中1種以上之氣體,施加高頻來産生《漿,利用該® 漿來對氣化矽膜,氮化矽膜,非号形砂膜,-非晶形 矽膜,單结晶矽膜和多結晶矽膜中之任何一種膜進行牲 刻; 上述指定之氣體環境及上述第2指定之氣釅琿埔,是 其構造式中具有F原子之氣B,和落自H2,He, Hz, 〇2 , (1113和()0所成群組中1種以上之氣驩而形成之氣 髏環境〇 其構造式中具有F原子之氣釅,最好使用?2、SF6、 NF3、CF4、C2 Fe、C3 F8、CHF3 ,在钱刻篇理中 ,因最好是其構造式中具有上述F原子之氣體中,添加 H2 , He, , 〇2,MH3和C0.中任何一種之氣體藉以 缠濟部中失橾车局貝工消费合作社印製 進行《理,所以上述指定之氣體環境友上述第2指定之 氣龌琛境最好是其構造式中具有F原子之氣醱,和選自 H2,He, H2,〇2,NH3和C0所成群組中1種以上之 氣朦而形成之氣體環境_» 又,依照本發明之申請専利範圍第3 5項,係提供申諳 專利範園第1項至16項中任何一項之®赛處理方法,其 中該霣漿處理是蝕刻處理,對於其構造式中具有C1原子 -15- 本紙張尺皮逍用中困國家標率(CNS ) A4規格{ 210X297公釐) 4510 0 0 A? B7 五、發明説明(I4 ) 之氣體,和遘自H2, He, N2, 02,HH3和C0所成群 組中1種以上之氣體,施加高頻來産生電漿,利用該電 漿來對氣化矽膜,氮化矽膜,非晶形矽膜,-非晶形 矽膜,單結晶矽膜和多結晶矽膜中之任何一種膜進行蝕 刻; 上述指定之氣體環境及上述第2指定之氣醍環境,是 其構造式中具有C1原子之氣體,和選自H2 , He, N2 , 02 , KH3jC0所成群組中1種以上之氣體來形成之氣 體琛境。 其構造式中具有C1原子之氣體最好是使用HC1、Cl2、 BC13、CCl4i在触刻處理中,因其構造式中具有上述 C1原子之氣體中,添加He, N2, 〇2,NH3和C0 中任何一種之氣體藉以進行處理,所以上述指定之氣體 環境及上述第2指定之氣體環境,是其構造式中具有C1 原子'之氣體,和菝自112, He, N2, 02, NH3和C0所 成群组中1種以上之氣體而形成之氣體環境。 經濟部中央標準局貝工消费合作社印装 (請先聞讀背面之注$項再填寫本頁) 又,依照本發明之申請專利範圍第36項,愾提供申讅 専利範圍第1項至16項中任何一項之電漿處理方法,其 中該罨漿處理是蝕刻處理,對HI氣體施加髙頻來産生電 漿,利用該電漿來對ITOdudiu· Tin Oxide)膜進行蝕 刻; 上述指定之氣體環境及上述第2指定之氣醱琛境,是 HI氣體環境。 又,依照本發明之申請專利範圍第3 7項,僳提供申請 -1 6-本紙張尺度適用中國國家揉率(CNS ) A4規格(210X297公釐) 4 5 10 0 0 a? B7 五、發明説明(is ) 專利範圍第1項至16項中任何一項之電漿處理方法,其 中該電漿處理是蝕刻處理,對選自HC1,C12 ,BC13和 CC14所成群組中1種以上之氣釅,施加高頻來産生電 漿,利用該霣漿來對A 1膜進行蝕刻; 上述指定之氣體環境及上述第2指定之氣體環境,是 選自HC1、Cl2、BC13,和CC14所成群組中1種以上之 氣體來形成之氣體琛境。 又,依照本發明之申請專利範團第3 8項,偽提供申請 專利範圍第1項至16項中電漿處理方法,其中 該電漿處理是噴濺處理,對落自Ar、He、Kr和Xe所成 群組中1種以上之氣體,施加高頻來産生電漿,利用該 霣漿進行噴濺處理; 上述指定之氣體環境及上述第2指定之氣醱環境,是 選自Ar、He、Kr、Xe所成群組中1種以上之氣體來形成 之氣體環境。 經濟部中央標準局貝工消费合作社印策 (請先閲讀背面之注意^項再填寫本頁) 又,依照本發明之申諳專利範圍第39項,傜提供申請 專利範圍第1項至16項中任何一項之電漿處理方法,其 中該電漿處理是研磨處理,對選自02,(fF3*H20所成 群組中1種以上之氣體,施加髙頻來産生電漿,利用該 電漿來對光抗蝕劑進行研磨; 上述指定之氣體環境及上述第2指定之氣體璟境,是 遘自、NF3和H2 0所成群組中1種以上之氣體來形 成之氣體環境。 · 又,依照本發明之申請專利範圍第40項,偽提供一種 * 1 7-本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) 4510 0 0 at ____B7_ 五、發明説明(16 ) 霄漿處理装置,其特激具備有1 處理室,用來對基板施加霄漿處理; 高頻施加用電極,可以用來將高頬施加到上述之處理 室内; 基板装載裝置,被設在上述之處理室内; 氣體供給管,被設置成輿上述之處理室連通; 排氣管,被設置成舆上述之處理室迪通;和 控制器,其控制方式是利用上述之氣臛供給管將罨漿 處理用氣醱供給到上逑之處理室内,和利用上述之排氣 管對上述之氣體進行排氣,控制成使上述處理室内成為 指定之滅壓,利用上述之轚捶對上逑之氣醱施加高頻, 來對被装載在上述基板裝載台之基板進行指定時間之霍 漿處理,然後停止上述高頻之施加,使氣體流動,在該 氣龌之構造式中包含有上述罨槳處理時所使用之轚漿處 理用氣匾之構造式中包含之原子。 又,依照本發明之申請専利範園第4 1項,葆提供申請 専利範圃第40項之霣聚處理裝置,其中該萵頻施加用電 極是在上述處理室内被設成互相平行之平行平板型之2 餡罨棰; 經濟部中央揉準局負工消费合作社印裝 (請先閱讀背面之注$項再填寫本頁) 上述之基板裝載裝置被設在2鵪電極中之一方,或上 述之基板裝載裝置是上述2偁電極中之上逑一方之電棰。 又,依照本發明之申請專利範圍第4 2項,係提供申請 專利範圍第4 0項或41項之轚漿處理裝置,其中 更具有基板脱離裝置,用來使上述之基板脱離上述之 -1 8 -本紙張Λ度逍用中國國家標準(CNS > A4规格(210X297公釐) 45 1 0 0 0 Α7 Β7 五、發明説明(17 ) 基板裝載裝置; 上述之控制器,其控制方式是在停止上述高頻之施加 之後,使氣體流動指定之時間後,在該氣醑之構造式中 包含有上述電漿處理時所使甩之電漿處理用氣體之構造 式中所包含之原子,然後利用基板脱離裝置使上述基板 脱離上述之基板裝載裝置》 , 又,依照本發明之申請專利範圍第4 3項,係提供申議 專利範圍第40項或41項之電漿處理裝置,其中 更具有基板脫離裝置,用來使上述之基板脱離上述之 基板裝載裝置; 上述之控制器,其控制方式是於停止上述高頻之施加 之後,亦进績將霣漿處理時所使用之上述電漿處理用氣 髏,利用上述氣醱供給管繼缠将該氣體供給到上述之處 理室内,同時,自停止上述高頻之施加後起,或從停止 上述高頻之施加起之經遇指定時間之後,利用上述基板 脱離裝置用來使上述基板脱離上述基板裝載装置β [附團之簡單説明〕 第1團是縱向剖面團,用來說明本發明之一實施形態 之電漿CVD方法和霍漿CVD裝置; 經濟部中央揉丰局貝工消费合作社印製 (請先聞讀背面之注意事項再填寫本頁) 第2圈是楱向剖面圈,用來説明本發明之一實施形態 之電漿CVD方法和笛漿CVD裝置; 第3圏是平面圃,用來説明被使用在本發明之一實施 形態之電漿CVD裝置之基板播送裝置; 第4 _是方塊醯,用來說明本發明之一實施形態之電 -19- 本紙張尺度適用中國國家標率(CNS ) Α4規格(2ΙΟΧ297公釐) 45 1 0 0 0 Α7 Β7 五、發明説明(18 ) 槳CVD裝置之控制器; 第5圖是方塊圃,用來說明適於使用本發明之一 Site 形態之電漿CVD方法和電漿CVD装置之LCD用葉Η式霣漿 CVD裝置; 第6圔是程序圏,用來脱明本發明之一實施形態之電 漿CVD方法;和 '第7圏是程序画,用來説明比較用之霣漿CVD方法· C實施形態〕 (本發明之實施形態> 下面參照園面來說明本發明之一實施形態· 參照第1豳和第2匾,本實施形態之電粲CVD装置1〇〇 ,具備有成膜處理槽1030和位於其兩侧之基板嫌送室 1110、1120。該成誤處理槽1 0 30 ,是具備有外檐20和内 槽7 0之槽構造。内槽70具有作為成膜處理室之功能•在 内^70内設有陰極30和限極40β陰極30,具備有陰檯加 熱器32與霣子流板3 4和反應氣驩導入管37。 鲤濟部中央揉率局貝工消费合作社印氧 (請先W讀背面之注項再填寫本頁) 寧反醮氣體導入管37依照所使用之氣讎種類來適當的 分岐,在本實施之形態,分岐成二傾反窸氣體導入管371 、372,在反應氣體導入管371、372分別設有開两闕1〇〇1 、1 〇 〇 2以及主流控制器1 0 0 3、1 0 0 4。由於此,進行反竈 氣黼之鏟擇且遴作流量控制來將反鼴氣體導入於内榷70 内。 陰極加熱器32由片狀之電阻加熱式電熱線31和鋁搆件 33所構成。在電子流板37設有多數館之反應氣體分敗口 -20-本紙張尺度速用中國國家標率(CNS ) Α4規格(210Χ 297公釐) 451000 A7 ___B7_____ 五、奁明説明(19 ) 35β在陰極加熱器32和霉子流板34之間形成有間隙38* BS極40具備有隁極加熱器41和設在孩限棰加熱器41上 之基板裝載台44。膜極加熱器41由片狀之笔阻加熱式電 熱雄42和鋁構件43所梅成。 在陰極30速接有高頻*潁84,而陽槿40則予接地❶ 在外槽20之上板26固定有SUS製之霣搔保持器72。電 梅保持器7 2之内供由《ffi保持器72固定石英製成之绝線 體76β孩绝嫌體76之内_設有上述之陰極30,利用绝錄 體76使陰極30和外槽20绝嫌β 在湯極加熱器41之周匯上設有輿霣極保持器72對應由 英高鍊(inconel)、鋁或SUS製之内槽外壁74。 除棰加热器41固定在加熱器驅動軸46·可以随著加熱 器驅動麯46之上下來作上下移動《»另外,加熱器驅動_ 46可以譆着空K艇47之上下來作上下移動。 當陽極加熱器41上升畤,設在其上之内携外壁74亦上 升,接觸於《極保持器72β 在陽極加熱器41設有排氣管61、β2β排氣配管61插入 設在外槽20底板28之孔27和排氣管63内*排氣配管62插 入設在外槽20底板28之孔2 9和排氣管Μ内。揉氣管61和 底板2 8之孔27内壁及排氣管63内壁之間具有間除、排氣 管62和底板28之孔29内壁及排氣管64内壁之間亦有間除 。排氣管63、64經由排氣管65連接於高真空泵30»又· 在排氣管65之途中設有譎壓閬66,調暖賊66之下游設有 两SH1006。於成膜時,如後所述两閉两闋賊96開啓開 -21- 本纸張尺度適用中國_家橾準{ CNS ) Α4规格( 210X297公釐) (請先閲讀r面之注$項再填寫本頁) --„--------Γ----;---ί 裝------訂 經濟部中央揉準局貝工消费合作杜印簞 4 5 1 0 0 0 a? B7 ___ 五、發明説明(20 ) 两閥1006,使内檐70内之氣釅經由揉氣管61、63、62、 64、65來排氣,外槽20内之氣«亦經由嫌氣管61與及底 板28之孔*27内壁及排氣管W内壁間之面除,排氣管62與 底板28孔29之内壁及排氣管64内壁之間之間除*以及排 氣管63、64、β5來排氣•因在排氣管65之途中設有調壓 閥66,所以内槽内和外槽2fl内乃調膣成指定之暖力6 利用設在外槽上板26之鼷力計82來拥定®资CVD裝置 100之懕力· S外,因依如此,使外槽2β和内槽70之間成為宾空, 所以可以控制内槽70之放熱,其结果,可以使内槽70維 持於熱壁狀態,所以不會産生容易從内槽70内壁刹離之 生成物,可以防止粒子之發生。 又,在外槽20設有排氣管92,排氣管92經由排氣管91 建接於高典空泵90*排氣管92之途中設有開鼷Μ9ββ在 成膜時以外.之基板拥送動作中等之場合時,两閉開两网 1 0 0 6、開啓·閭Β閥9 6 ,用來使外槽20内之氣體經由揉氣 管92、91來排氣。 經濟部中央揉率局貝工消費合作社印笨 (請先閱讀背面之注^^項再填寫本頁) 裝載在基-板裝載台44上之基板lfl,經由基板上升銷52 從基板裝載台44脱離,升降。另外,該基板升降銷子52 像安装在基板升降銷子驅動軸54,随著使基板升降銷子 轚動軸54上下而作上下移動。 在外檐20之侧面12 1、123分別設有基板摊入口 21、基 板搬出口 23。基板搬入口 21設有蘭賊22,在基板捆出口 23設有閘閥24。 -22-本紙張尺度遙用中國國家標率(CNS ) A4规格(210X297公釐)
4510 0 0 AV B7 五、發明说明(u) 基板嫌送室1110、1120分別設有基板搬送裝置1 0 0 5、 1 0 0 5’分別經由基板搬入口 21、基板播出口 23來搬送基 板,用以進行基板處理之内槽70内之基板裝載台44與另 一處理槽(未圓示)内之基板裝載部等之間來進行基板摊 送。 參照第3圈,本實施形態之基板搬送裝置1005(1005') ,是多間接機器人之例,機器人臂1027(1027’)以旋轉 轅1G26UD2 6')連接於驅動軸10 23(1023'}。敘述迪接臂 1027(1027')之評細是以旋轉轅1024(1024'}連接基板装 載部1 0 2 0 ( 1 0 2 0 ')與第1攧送臂1 0 2 1 ( 1 0 2 1 1 >,並以旋轉 轅1 0 2 5 ( 1 β 2 5 ')連接第1搬送葡1 0 2 1 ( 1 0 2厂)輿第2撤送 臂1 0 2 2 ( 1 0 2 2 ‘),再以旋轉《 1 0 2 6 ( 1 0 2 6 · ) «接第2搬送 臂 1022(1022。與驅動部 1023U023·)» 於第1搬送壁1021U021M内和第2 «送臂102 2 ( 10 2 21) 内,旋轉麯 1024(1024·)、1025(1025·}及旋轉 _ 1026 (10.2 6')分別連接有帶輪(未圓示),對各餡帶輪經由皮 帶(未國示)來傳逹驅動力》又經由調節各傭帚_直徑方 向之大小比,則可進行如圖所示作機器人臂1027(1027’) 之延伸動作或收缩動作》 經濟部中夬橾率局貝工消费合作社印裝 (請先閱讀背面之注+^項再填寫本頁) 又驅》部1023(1023·)内於旋__1Β26(102β·)連接有 驅動馬逢(未園示)用來驅動檐器人譬1027(1027’)作延 伸/收缩動作,使此驅動馬逹輿旋轉》1026(1026')作 無關之旋轉,來旋轉機器人譬1027(1027'),可變更機 器人臂1027(1021)之延伸/收縮方向。 -23- 本紙張尺度逍用中國國家梯芈(CNS ) A4規格(210X297公釐) 舍 § 1 0 〇 0 A7 B7 五、發明説明(Μ) 參照第4 在控制器1000中,對结括控制部1040依 照所要之功能連結有閥控制部1041、.氣體流董控制部1042 、壓力控制部1043、高頻輪出控制部1D44、灌度控制部 1045、基板联雄控制部1046、以及基板«送控制部1047 、另外亦達結有;顯示部1048,讓作業者可以目視確認 裝置之控制狀況或設定狀況等;及輪入部1049,用來進 行控制狀況之變更或設定值之變更。另外,输入部1049 亦可為由作業者以手輪入之鍵盤.又亦坷使用能自動讀 取軟碟或1C卡等之記億媒體。 閬控制部1041與開矚閥1001、1 002連接,經由控制氣 體導入管371、3 72之開期狀態,可使氣體以蘧擇性的 流動。再者,輿開两閥1 0 0 6、96連接,可以分別控制排 氣管65、32之開鼸狀態。再連接閘閙22、24可以控制基 板嫌入口 22、基板摊出口 24之開两狀餹β 氣醴流量控制部1 0 4 2連接於霣量流控制器1003、1004 Γ . ,經由分別控制霣量流控•制器1003、1004内之Μ開啓程 度*可以控制每單位時間之流動氣醪Μβ 颸力控制部1 0 4 3連接於謝懕閥66、壓力·檢拥器82,根 據來自S力檢測器8 2之壓力檢測结果來控制籣屋閥66内 之閥開啓程度,藉以控制每單位時間所排氣之量。 高頻输出控制部104 4連接於高頻電源84,用來控制檢人 自高頻霣瀛84之离頻霣力量,又亦控制高頻施加之0N/ 0FFo 溫度控制部104 5迪接於未圏示之用以檢ΐΜ霣熱線4 2及 -24- 本紙張尺度遄用中國國家標準(CNS ) A4規格(210 X 297公釐) m (請先M讀背面之注$項再填寫本頁) .^aJ< 經濟部中央標率局貝工消费合作社印笨 451〇〇〇 - 經*部中央揉率局員工消费合作社印* 五、發明説明 (23 ) 1 1 隈 極 加 熱 器 41溫 度 之熱霣 供 9 設定加熱器溫度 « 使其與 1 來 白 熱 霣 供 所 檢 m 結果進 行 tb p ,來控制供給 到 加熱器 1 1 之 罨 力 ft 〇 請 1 | 基 板 脱 離 控 制 部 ΠΜ6輿 基 板 升降銷子腰動轎 54 建接, 先 閲 1 利 用 基 板 升 降 銷 子 囅動軸 54之 升降動作,來控 制 晒 基板10 背 1 I 之 1 對 基 板 裝 載 台 44之 装載和 脫 離 ft 注 * I 基 板 播 送 控 制 部 1 0 4 7 與 塞 板 拥1送裝置1 00 5 (10(^/)連接 ? 項 1 再 1 / * 用 來 控 制 對 基 板 處理槽 10 3之基板搬送、以及來自基 填 寫 V. 裝 板 處 理 槽 1 0 30 之 基 板播送 0 未 頁 1 I 在 m 括 控 制 部 1 0 4 0可以 預 先 输入製法用以進 行 順序控 1 1 制 依 照 其 製 法 來 對閬控 制 部 1041、氣體流董 控 制部 1 I 1042 、 壓 力 控 制 部 1043. 离 類 输出控制部1 0 4 4 粗度控 1 1 訂 1 制 部 1045 基 板 脱 離控制 部 1 0 46、以及基板搬 送 控制部 1 04 7 之 動 作 指 示 〇 再在總 括 控 制部ΠΗ0,設有 聯 鎖装置 1 1 3 例 如 蘭 N 22 、 24不開啓 之 狀 態、或_棰加热 器 41沒有 1 1 下 降 内 槽 外 壁 M没有下 降 之 狀態時,用來禁 止 基板播 1 送 裝 置 1005 ( 1 0 0 5·)之基板搬入/搬出動作,對方仿銪誤 1 之 動 作 指 令 則 亦 備 有不予 動 作 之功能β 1 1 參 照 第 5 圏 • 在 LCD用菜片式霣漿CVD裝置20 〇 · 設有 1 | 卡 匣 支柱 S1 、 S2 大氣用 搬 送 機器人ΤΙ、Τ5, 爽 空用搬 1 I 送 機 器 人 T2 ' T3 X T4,装 載 閉 鑌室LI、 L2,成 膜 處理室 1 i R1 、 R2 \ R3 » 以 及 基板加 熱 室 Η β本發明之霣 漿 處理方 I 法 及 霉 漿 處 理 裝 置 ,適用 於 以 成膜處理室R1、 R1 、R3來 1 \ m 理 1 \ -25- 1 1 1 1 +紙张尺度適用中國國家標率(CNS > A4規格(210 X 297公釐) 經濟部中夾揉準局貞工消费合作杜印袈 451000 Α7 Β7 五、發明説明(24 ) 在卡匣支柱S1放置有卡匣(未匾示)最多可以放入20H 之通常玻璃基板。利用大氣用搬送機器人T1從放置在卡 匣支柱S1之卡匣(未圔示)中只取出1 Η玻璃基板而搬送 到裝載閉鎖室其後·使裝載閉鎮室L1從大氣壓變& 真空之後♦利用真空用搬送機器人Ϊ2將玻璃基板搬送到 基板加熱器在基板加熱室Η將玻瑰基板加熱到成膜溫 度,其後,利用稟空用«(送機器人Τ2将其撤送到成膜室 RU然後,在成醭室R1進行成膜,在成膜後,利用本發 明之霉漿處理方法將基板從基板裝載台(未園示)脱離撤 起,利用輿空搬送機器人Τ 3将其搬送到成膜室R2。於成 膜室R2,亦輿成膜室R1同樣進行成膜.在成膜後,利用 本發明之霣漿處理方法,將基板(未匾示)從基板装載台 (朱國示)脱離播上,利用真空用败送機器人Τ4将其搬送 到成膜室於成_室R3,亦輿成賴室R1囿樣進行成膜 ,在成膜後,由本發明之«漿處理方:法從基板裝載台( 未圖示),脱離搬送基板(未圄示),利用真空用搬送檐器 人Τ4將其搬送到裝載閉鋇室在裝載閉親室L2進行玻 瑰基板之冷卻之同時從真空回到大氣.。其後,利用大氣 用嫌送檐器人Τ 5將玻璃基板收容在被放置在卡匣支柱S2 之卡匣(未圃示)β 第6圆,是用以説明本發明一實施形態之電漿CVD方 法之順序園〇 首先,将基板10裝載於基板裝載台44上,在瞩極加熱 器41被上升之狀態,一方面利用排氣管81、62、64、65 -26- 本纸張尺度適用中國國家標準(CNS ) Α4洗格(210Χ297公釐) (請先閲讀背面之注意Ϋ項再填寫本頁) 訂 鱧濟部中央揉率扃貝工消费合作社印製 451000 五、發明説明(35) 譎壓閥66,以及高真空泵90,使内携7(1内之氣篇以指定 之抹氣量進行棑氣,另外一方面,以指定之流置從反® 氣龌導入管37将反應氣鱺導入内榷内,來將内槽70内 之氣體調B成指定之壓力,利用高頻爾源84將高頻霄力 施加到陰® 30和隔極40之間來産生笔橐在基板10上進 行成膜《從反應氣艚導入管3 7供給反應.氣體,流A陰極 加熱器3 2與轚子流板34間之間隙38,從設在電子流板34 之反應氣艨分散口 35基板1〇朝流動,經由排氣管61, 62 從内槽70排氣〇 經遇成膜所要規定時間後,就停止离頻電力之施加· 可是繼績進行反麻氣爨之導入及排氣並進行内榷70内之 9Ι_β此時,反鼴氣爨最好與成膜時相同者,另外,反 窸氣醱之流遣亦最好使用舆成謨時相同時》又,内檷7〇 内之排氣量亦最好與成膜時相同,其結果,所調壓之壓 力亦最好與成膜時相同者。只要停止高頻霣力之施加就 I r 可容易地形成此狀態〇 又於此猶狀態,使隈極加熱器41下降,然後使基板升 降箱_子52上升而將基板10從基板裝載台44脱離撤起〇 将基板播起之後,停止皮應氣鼸之供給,亦停止内檐 70内之酾壓,使内榷70和及外榷20内之氣腰成為真空排 氣而成高真空《 其後,利用基板搬送裝置1005'從基板搬出口 23将基 板10搬出到基板搬送室1120,其後搬送到下一籲處理槽。 依如此,停止高類之施加,由使基板釅露到反應氣臞 -27- 本纸張尺度適用中國國家標率(CNS >八4規格(210X297公釐) (請先閲讀背面之注$項再填寫本頁) -裝· 訂-ΙΊ11Μ! 4 5 1 0 0 0 A7 B7 經 央 揉 率 消 费 合 作 社 五、發明説明 (26 ) 1 1 琛 境 中 9 在 利 用 罨 板 進 行 成 m 中 之 基 板10所 産 生 之 帶 電 1 電 荷 可 予 減 少 或 除 去 » 再 者 * 在 反 應 氣臞 琢 m 中 從 基 板 1 1* Λ 裝 載 台 44脱 m 搬 起 基 板 1 0 * 可 以 有 效 的除 去 * 抑 制 或 防 請 1 1 止 基 板 10之 帶 罨 就 可 將 基 板 10 搬 送 至以 m 電 轚 荷 少 之 先 閲 1 1 狀 態 來 m m P 其 结 果 1 在 基 板 搬 送 時 ,基 板 10仍 持 附 著 背 Λ 1 I 在 附 近 之 電 子 流 板 34之 狀 態 贅 或 基 板 10暫 時 附 着 在 m 子 之 注 韋 1 I 流 板 34 然 後 落 於 基 板 裝 載 台 44 * 又 可以 有 效 的 防 止 落 項 1 再 1 i 下 之 基 板 10破 裂 1 或 引 起 基 板 播 送 錯 誤。 又 亦 可 以 有 效 填 寫 裝 1 I 的 防 止 與 基 板 10附 近 被 接 地 之 地 方 引 起火 花 f 至 少 成 膜 未 頁 之 膜 圓 型 裝 置 被 飛 掉 造 成 園 型 欠 峽 » 以及 因 而 産 生 之 絶 1 [ 破 壤 〇 1 I 第 7 蘭 是 tfc 較 用 之 順 序 圈 » 用 來 說 明霣 漿 CVD法之順序。 1 訂 1 首 先 9 将 基 板 10裝 載 於 基 板 裝 載 台 4 4上 f 以 棰 加 熱 器 4 1 被 上 升 之 狀 態 ♦ 利 用 排 氣 管 6 1 X 62% 63 V 64 X 65 1 調 壓 閥 66及 离 真 空 泵 30依 指 定 之 排 氣 童排 出 内 槽 ?0内 之 1 1. 氣 讎 » % 外 方 面 9 以 指 定 之 辄 量 從 反應 氣 體 導 入 管 37 1 [ 將 反 應 氣 體 導 入 内 槽 70内 9 邊 對 内 槽 70内 氣 腰 讕 m 為 指 m. I 定 之 m 力 9 利 用 高 頻 電 源 84將 离 頻 電 力施 加 於 陰 棰 30舆 1 1 I 極 40之 間 來 産 生 電 漿 藉 以 在 基 板 10上進 行 成 膜 〇 經 遇 1 1 成 膜 所 痛 要 之 指 定 時 間 • 朗 同 時 停 止 高頻 霣 力 之 施 加 、 1 I 反 m 氣 m 之 導 入 及 排 氣 並 停 止 内 槽 70内之 調 艟 • 内 槽 70 1 1 内 之 氣 體 被 m 氣 成 离 真 空 狀 灌 然 而 ,内 槽 70内 之 氣 醴 1 速 到 指 定 之 真 空 度 後 « 使 陽 極 加 熱 器 4 1下 降 » 其 後 t 使 1" I 基 板 升 降 銷 子 52上 升 來 将 基 板 10從 基 板裝 載 台 44上 脱 離 1 1« -28- ! 1 1 本紙張尺度遑用中國國家標準(CNS ) A4規格(21〇x297公釐) Μ濟部中央標半局負工消费合作社印«. 4 5 1 Ο Ο Ο Α7 Β7 五、發明説明(27 ) 檐起。其後,利用基板»送裝置1005'從基板两出口 23 將基板10搬出到基板嫌(送室1120,其後播送到下一值處 理檐。 成膜後,依照該比較用之霣漿C V D法之顒序搬送基板 10,在基板搬送時,基板10仍附著於其附近之電子流板 34之狀態、或基板10暫時附箸於霄子流板34,其後落在 基板裝載台44,又,由落下致基板1〇破裂或引起基板» 送錯誤。又,與基板10附近所接地地方有畤會起火花, 其結果,所成膜之膜或圓型裝置會飛掉而造成曈型欠缺 ,並由於此所绝線之地方被破壤,亦有所諝绝緣破壤* 依如此,由於有發生基板搬送鍩誤或绝结破壤·所以為 了比較用之霜漿CVD法之順序中,不能以棰定的來成K 遇程處理β 本發明人等致力研究之结果,揉為上述基板搬送錯誤 或絶錁破壤所引轉之原因,是由成膜中之電漿放轚在基 板10産生之帶霣電荷,或使基板10從基板裝載台44脱離 時所産生之剝離带霣在基板10産生帶霣霣荷,可以視為 由於使基板從基板裝載台脱離時所産生之剝離帶霣在基 板産生之帶竃電,荷》亦即,由於成膜中之轚狻放轚使在 基板裝載台44上已帶霣之基板1Β,在离真空中經由基板
I 升降銷子52從基板裝載台44脱離搬起時,就産生剝離帶 霣,使基板10之帶霣電位更加大β另外,由於此剝離帶 霣之帶轚電位,随著基板升降銷子52之速度愈大則愈大 ,速度小時就予抑制其部份。依如此,利用霣槳會在成 _ 2 9 _ 本紙張尺度適用争國國家搞準(〇奶)八4规格(210父297公釐) (請先Μ讀背面之注意事項再填寫本頁) 裝. 訂 451000 經濟部令央橾率局ec工消费合作杜印*. A7 B7_五、發明説明(28 ) 瞋中使基板帶霣,其後由於剝離帶電使基板10之帶霣電 位增大,所以由於靜電,在基板撖送畤,仍以持基板10 附着於部近之電子流板3 4 ,或基板1 0暫時的附着於轚子 流板34,其後落在基板裝載台44,又,落下使基板10破 裂或起基板嫌送錯誤❶又,帶電於基板10之電荷,因欲 霉放至任何附近所接地之地方,基板10即與在附近被接 地地方引起火花,其結果,所成膜之膜或圔型裝置會飛 掉而産生圓型欠缺,並由此所绝钱地方被破壤引起所謂 絶鐮破壞❶此種不妥當,以採用上迷本發明一實施形態 之《漿CVD法之順序來解決。 (實施例} 下面參照第i匯,第2圔,第6圔和第7圏來説明本 發明之實施例和比較例。 (第1實施例) 使用第1 , 2圓所示之霄漿CVD裝置100,将玻璃基板 1 D裝載於基板裝載台4 4上,以I»極加熱器4 1上升之狀態 ,利用加熱器61、62、63、64、65,調壓两6 6和高稟空 泵90,以指定之排氣量使内槽内之氣釀排氣管|另外 一方面,以指定之流量從反應氣體導入管37將反醮氣體 SiH4和N2 0導入到内槽70内邊將内槽7 0内之氣體調S 成0.2〜l.STorr之颸力,利用高頻當葱84將13·56ΜΗζ, 340W之髙_霣力施加在陰極30和陽極40之間,用來産生 電«藉以在玻班基板1U上進行Si02膜之成膜。 經過成膜所需要之指定時間,停止高頻轚力之施加, -30- (請先閱讀背面之注意事項再填寫本頁) 「裝· -訂 本纸張尺度適用中國國家標準(CNS > A4说格(210X297公釐) 45100。 Α7 Β7 經濟部中*揉率局工消费合作社印裝 五、發明説明(朽) 繼鑛使反應氣體之導入和排氣並使内槽70内之钃鑛調鱔 。在此時,反醮氣禮與成膜時者相同,又,反應氣龌之 流最亦與戒膜時者相同。又,使内槽70内之排氣量亦與 成膜時相同,諝壓之腰力亦輿成膜時相同。. 在此種狀態,於停止离頻之施加後使黼棰加熱器41下 降,其後,由使基板升降銷子52上升來將基板1〇從基板 裝載台44脱離搬起。此時,完成隈極加熱器41之下降完 成裔要1〜2秒左右之時間,與完成基板升降銷子5 2上升 同樣需要1〜2秒左右之時間β 基板10播起之後,停止反鼴氣釀之供給,亦停止内榷 70内之調Κ,對内槽70及外槽20内以真空排氣使其成為 离真空》 其後,利用搬送機器人1005·從基板排出口 2 3将玻璃 基板10»出到基板搬送室1120。其後,進行玻璃基板10 之表面霣位澜定β 又,0 —方面,作為比較例者如第7國所示,在經a SiO 2膜之成鍥所需要之指定哼間之時刻,同時停止高 頻電力之施加、反褰氣釀之導_入p以及内榷70内之調壓 ,將内檐70内排氣成离真空β 在此種狀態,使隈極加熱器41下降,其後由於使基板 升降鎊子52上升來將基板1〇從基板裝載台44脱離搬起。 於基板用起之後,利用嫌送機器人1005‘從基板撤出 口 23将玻璃基板10搬出到基板搬送室1120β其後,進行 玻瓌基板1Q表面轚位之拥定ρ -3卜 本紙張尺度適用中國國家標率(CNS > Α4规格(210X297公釐) (請先聞讀背面之注項再填寫本頁) '裝. 訂 fr * 4 經濟部中央揉準局属工消费合作社印製 5 10 0 0 A7 B7 五、發明説明(30 ) 然後,利用本發明之第1實施例之顚序進行成膜時之 玻璃基板10之表面電位,輿利用比較例之顒序進行成膜 時之玻逋基板10之表面電位進行比較β 分別對於本發明第1實施例之顒序及比較例之顒序之 各20片之玻璃基板10,重複進行此種表面罨位之比較。 其结果表示方仿表1β 轰_1 第1 Η 第2 Η 第1片 • * * 第20M 本發明之第1 -Β . 2 -0 3 -0.1 » _ -0.2 實施例之類序 kv kv kv k v 比較例之順序 -2kV 以下 -2kV 以下 -2kV 以下 • * * -2kV 以下 如上所示,在比較例之顆序中,對表面電位為- 2kV以 下,在本發明之顒序時,表面電位為-0.3k V以上,明顧 的被減少。 又,以其他之調K壓力或其他之反醮氣體流量來進行 亦獲得間樣之结果β 另外,以比較例之顒序進行成膜時,在成膜層之圔型 -32- 本紙張尺度逍用中國S家標準(CNS > A4说格(210 X 297公釐) (請先閱讀背面之注^^項再填寫本頁) A7 B7 45 1 0 0 0 五、發明说明(31) 裝置看出由一部伤破損之欠缺,但是在本發明第1實施 例之_序進行成膜時,會完全未發生國型裝置之欠缺β (第2賁施例乃至第10實施例> 其次,下面轵明之第1至第3實施例分別依據各顺序 ,使用_種之反鼴氣體來進行種種之霣漿處理對第2乃 至第10之實施例來説明。 首先參照第1晒,第2麵和第6画來說明第1乃至第 3覼序。 (第1類序) 使用霣漿處理裝置将玻瑰基板Η裝載於基板裝 載台44上,以賜極加热器41上升之狀態,利用排氣管61 、62、63、64、65調齷閥66及高真空泵90,由指定之排 氣量對内槽70内來排氣,S外一方面,以指定之流量從 反醮氣體導入管37将指定之反窸氣鼸導入内槽70内邊將 ·· . 内榷70内之氣腰鼷壓成〇·2〜1.5 Torr之壓利用离頻 霣源84将13.56ΜΗζ=, 340 W之高頻施加於陰極3 0和隈棰40 之間而産生霣漿藉以在玻璃基板上進行霣漿處理· 經遇竃漿處理所需要之指定時間後,停止高頻霣力之 施加,覦鑛進行反應氣腰之導入及排氣並龜鑛内槽7 0内 之讕S»在這種情況,使反鼴氣體與霣漿處理時者相同 ,又,使反應氣體之流置亦舆電漿處理畤者相同·又, 使内檐70内之嫌氣量亦與電漿處理時相同,使明壓之壓 力亦輿轚漿處理時相同》 依此種狀態,從停止高頻霉力之施加後,使陽極加热 -33- 本紙張尺度適用中國國家標率(CNS > Α4规格(210X;W公釐) ; ; -/V裝 訂 ^ 1 L·, (請先Μ讀背面之注意事項再填寫本頁) 經濟部中失揉率局貝工消费合·作社印装 r 4 5 1 〇 〇 Q A7 B7 經濟部中央揉率局貞工消费合作社印*. 五'發明说明(Μ ) 器41下降,其後,由使基板上升銷子52上升來将基板1〇 從基板裝載台4 4脱離搬起。但是•完成鷗極加熱器41之 下降需要1~ 2秒左右之時囿,完成基板升降銷子52上升 亦同樣需要1〜2秒左右之時間。 基板被搬起之後,停止反醮氣«之供給,亦停止内檐 70内之諝壓,對内槽及外槽20内之氣體進行真空排氣 使其成為高真空〇 其後,利用《送機器人1005'從基板排出口 23将玻瑭 基板10«出到基板《送室1120。其後進行玻璃基板1〇表 面《位之測定。 (第2順序) 到進行霣槳篇理止,傜與第1類序相同.故省略其銳 明。 經«霣漿處理所霈要之指定時颺,停止离頻霣力之® 加之同時,以停止反應氣饅之導入和排氣以及内槽内 調屋之狀態,在停止离頻甯力之施加後,使陽棰加熱器 41下降,其後由於使基板升降銷子52上升,基板1〇從基 板裝載台44脱離上升。但是,完成瞄棰加熱器4 1之下降 需要1〜2秒左右之時間,完成基板升降销子52上升亦同 樣需要1〜2秒左右之時間β 基板被搬起之後,對内槽70及外槽20内進行真空排氣 使其成為离真空β 此以下之步《輿第1順序相同,故省略其説明。 (第3順序> -3 4 - (请先Μ讀背面之注$項再填寫本X ) '裝· 訂 •H I ^ . 本紙張尺度遍用中國网家標車(CNS ) Α4%格(210 X 297公釐) 451000 A7 B7 五、發明説明(《 ) 在進行霣漿處理之前,其處理與第1顒序相同,故其 省輅其説明。 經過*漿處理所需要之指定時間後,停止高頻電力之 施加,同時停止反應氣體之排氣及停止内槽以内之調壓 ,以繼鑛反應氣臁之導入狀態,於停止高親«力施加之 後,使限極加熱器41下降,其後,由於使基板升降銷子 52上升使基板10從基板裝載台44脱離搬起。但是,完成 隈極加熱器41之下降需要1~2秒左右之畤間,完成基板 升降銷子52上升亦同樣需要1〜2秒左右之時間β 基板被投起後,停止反應氣體之供給,對内槽70及外 槽20内之氣體進行真空排氣使其成為高真空》 此以下之步®與第1順序相同,故省略其說明。 其次將分別根據上逑第1乃至第3顆序來説明第2至 第10實施例β (第2實施例> 作為反應氣體,使用SiB4或Si2 Ηβ氣體(30〜100 SCCK),與 U2〇、C02、C0 及 02 中任何一種{300〜70 0 SCCM),根據上述之第1至第3顒序,形成«化矽膜。. (第3實施例) 作為反應氣體,使用SiJU, Si2H6, SiF4, SiH2Cl2 和 Si2Fe 中任何一種(50〜lflOSCCH),輿 NB3, N2 和 NF3 氣醱中任何一種(100〜4 0 0 SCCM),輿載體氣體使用N2,
Ar, He和H2氣體中之任何一種(1 SLM),根據上逑第1 至第3之各顒序形成氮化矽膜。 -35- 本紙張尺度逋用中®國家標準(CNS > A4規格(2I0X297公釐) ---:----^---裝------訂--,--1-- (請先閎讀背面之注$項再填寫本頁) 經濟部中央橾準局貝工消费合作社印«. 4 5 1 0 0 0 € 五、發明説明(w) {第4實施例) 作為反應氣體,使用SiH4或Si2 Ηβ (50〜200SCCH), 舆ΡΗ3氣體(100〜500SCCH),根據上述之第1至第3順 序形成η+ -非晶形矽膜。 (第5實施例) 作為反醮氣艚,使用SiH4或Si2H6 (50〜2B0SCCM), 根據上述之第1至第3各頤序形成非晶形矽膜》 (第6實施例> 作為反醮氣醴,使用F 2 , SF e , NF 3 , CF 3,CF4 , C 2 F 6,C 3 Η 8 , CBF a,HC1, C1 2 , BC13 和 CC14 氣 體中之任何一種氣醱(100〜100 0SCCH),與H2,He, N2 ,〇2 , 和C0氣體中之任何一種氣體(100〜1DOOSCCM) ,根據上述之第1至第3各順序來對氣化矽膜,氮化矽 膜,非晶形矽膜,n+ -非晶形矽醭,單结晶矽膜和多結 晶:矽膜中之任何一種膜進行拽刻處理。0外,在蝕刻畤 之内槽70内壓力諝壓成0.1〜lOTorr,施加200W〜10KW 之.高頻β (第7實施例) 經濟部中*樣率^貝工消费合作社印装 (請先聞讀背面之注意事項再填寫本頁) 作為反應氣體使用ΜΙ氣體(100〜1 00 0SCCM).根據上 述之第1至第3各顒序,對I TO膜進行蝕刻處理。S外 ,在蝕刻時將内榷70内之壓力調壓成0.1〜lOTorr,施 加200 W〜10KW之离頻β (第8實施例) 作為反應氣體,使用HC1, C12,BC13*CC14氣醞中 -36-本紙張尺度逋用中國國家橾準(CNS ) A4规格(21〇X297公釐) 45 1 0 0 0 A7 B7 五、發明説明(is ) 之任何一種之氣艨(i〇〇~ifl〇〇sccM),根據上述之第1 至第3各顒序對A1膜進行蝕刻處理。另外,在蝕刻時将 内槽70内之®力諝壓成〇·1〜10ΤΟΓΓ,施加200W〜10KW 之高頻β (第9實施例> 作為反應氣體,使用Ar, He, Κι*和Xe中之任何一種之 氣髏(10 0〜1000SCCM),又使用A1作為靶標,根據上述 之第1至第3各順序進行喷濺處理。另外,在蝕刻時將 内榷70内之壓力調壓成O.lTorr左右,施加200Ϊ〜10KW 之高頻》 (第10實施例) 作為反應氣體,使用02, hf3*h20中之任何一種 之氣體(100〜1O0OSCCM),根ji上逑之第1至第3各順 序進行光抗蝕劑之蝕刻處理。外,在蝕刻時将内檐70 内之壓力調臛成0.1〜lOTorr,施加200W〜10Κ¥之高頻。 於本發明第2至第10實施例中,電漿處理後之玻瑰基 板之表面轚位保持在- U.3kV以上(絶對值〇.3fcV以下>, 可以有效的除去帶霣。 炫濟部中央橾牟局貞工消费合作社印簟 (請先閲讀背面之注f項再填寫本頁) -37- 本紙張尺度適用中囟國家揉準(CNS ) A4规格(210X297公釐) / 451000 A7 B7
五、發明説明(Η 8^· 12. -3 經濟部智慧財產局員工消費合作社印製 〔符號之說明〕 2 槽 20 外槽 21 基板搬入口 22,124 閘閥 23 基板搬出口 26 上板 28 底板 29 孔 3 0 陰極 31,42 電熱線 32 陰極加熱器 33,43 鋁構件 34 電子流板 35 反應氣體分散口 37,371,372 反應氣體導入管 38 間隙 40 陽極 41 陽極加熱器 44 基板載置台 46 加熱器驅動軸 47 空壓缸 52 基板上升銷 54 基板升降銷子驅動軸 61,62,63,64,65,91,92 排氣管 66 調壓閥 70 內槽 72 電極保持器 74 內槽外壁 76 絕緣體 82 壓力計 84 高頻電源 90 髙真空泵 96,1006 開閉閥 -38- (請先閲讀背面之注意事項再填寫本頁)
' f/ C
-IT 本紙張尺度逋用中國國家標準(CNS ) Α4规格(210X297公釐) 451000 A7 B7
經濟部智慧財產局員工消費合作社印製 發明説明(η) 紙i2. 100 電漿CVD裝置 121,123 側面 200 葉片式電漿CVD裝置 1000 控制器 1001,1002 開關閥 1003,1004 主流控制器 1005,1005, 基板搬送裝置 1020,1020’ 基板裝載部 1021,102 Γ 第1搬送臂 1022,1022, 第2搬送臂 1023,1023’ 驅動部 1024,1024,,1025,1025’,1026,1026’ 旋轉軸 1027,1027’ 機器人臂 1030 成膜處理槽 1040 統括控制部 1041 閥控制部 1042 氣體流量控制部 1043 壓力控制部 1044 高頻輸出控制部 1045 溫度控制部 1046 基板脫離控制部 1047 基板搬送控制部 1048 顯示部 1049 輸入部 1110, 21120 基板搬送室 S1,S2 卡匣支柱 τι,τ5 大氣用搬送機器人 Τ2,Τ3,Τ4 真空用搬送機器人 L1,L2 裝載閉鎖室 R1,R2,R3 成膜處理室 Η 基板加熱室 -39-
(請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家橾準(C.NS ) A4規格(210X297公釐)

Claims (1)

  1. 種電漿處理方法,其包含有: 經濟部智葸財產局員工消費合作社印製 利用對處理室內之氣體施加高頻所產生之電漿對被 裝載在上述處理室內基板裝載台上之基板進行電漿處 理,其特徵爲, 在停止該高頻之施加後,在處理室內使基板在指定 之氣體環境中曝露指定時間之工程: 然後從處理室將基板搬出之工程; 其中該基板曝霣在指定氣體環境中之工程,是在指定 之氣體環境中除去、抑制或防止該基板帶電之工程, 及 包含有在指定氣體環境中使基板從基板脫離基板裝 載台之工程。 2.—種電漿處理方法•其係利用對處理室內之氣體施加 高頻所產生之電漿對被裝載在上述處理室內基板裝載 台上之基板進行電漿處理 > 其特徵爲, 在停止該高頻之施加後,在處理室內使基板在指定 之氣體環境中曝露指定之時間; 然後從處理室將基板搬出: 其中該基板曝露在指定氣體環境中之工程,是在該 指定之氣體環境中除去、抑制或防止該基板之帶電, 及 (請先閱讀背面之注$項再f本頁) .装 '線 本紙張尺度遑用中國國家揉準(CNS ) A4規格(210X297公釐) 4 A8 B8 C8 D8 '申請專利範固 η jm 在該處理室內於基板被裝載在基板裝載台之狀態, 使該基板在指定之氣體環境中曝露於指定之時間, <請先«讀背面之注$項再填寫本頁) 然後,在該處理室內使基板脫離基板裝載台。 3. 如申請專利範圍第2項之電漿處理方法,其中使該基 板脫離上述基板裝載台之上述工程,是在第2指定之 氣體環境中進行· 4. 如申請專利範圍第3項之電漿處理方法,其中該第2 指定之氣體環境中之氣體與上述指定之氣體環境中之 氣體相同。 S·如申請專利範圍第1至4項中任何一項之電漿處理方 法,其中該基板曝露在上述指定氣體之環境中之上述 工程*是在上述高頻之施加停止後在上述處理室內· 使上述基板在上述之指定氣體環境中曝露指定之時 間。 經濟部智慧財產局具工消費合作社印製 6.如申請專利範圍第1至4項中任何一項之電漿處理方 法,其中用以進行該基板電漿處理之上述工程•係使‘ 氣體流入上述處理室內之同時*使氣體從上述處理室 排氣,邊將上述處理室內控制成指定之壓力,利用邊 對上述處理室內之氣體施加高頻所產生之電漿來對被 裝載在上述處理室內之上述基板裝載台之上述基板進 行電漿處理; 該基板曝露在上述指定氣體環境中之上述工程,在 上述電漿處理後停止上述高頻之施加,在停止上述高 頻之施加之後|亦使流入上述處理室內氣體之至少一 -2- 本纸張尺度逋用中國國家揉準(CNS ) A4规格(210X297公釐) 5 4 ο ο ο A8B8C8D8
    經濟部智慧財產局員工消费合作社印製 六、申請專利範圍 種氣體繼續流入,並在上述處理室內使上述基板在上 述指定之氣體環境中曝露於指定之時間。 7. 如申請專利範圍第S項之電漿處理方法,其中用以進 行該基扳電漿處理之上述工程,係使氣體流入上述處 理室內之同時,使氣體從上述處理室排氣,邊將上述 處理室內控制成指定之壓力,利用邊對上述處理室內 之氣體施加高頻所產生之電漿來對被裝載在上述處理 室內之上述基板裝載台之上述基板進行電漿處理; 該基板曝露在上述指定氣體環境中之上述工程,在 上述電漿處理後停止上述高頻之施加.,在停止上述高 頻之施加之後,亦使流入上述處理室內氣體之至少一 種氣體繼續流入,並在上述處理室內使上述基板在上 述指定之氣體環境中曝露於指定之時間。 8. 如申請專利範圔第6項之電漿處理方法,其中使流入該 處理室內之氣體中至少一種氣體繼續流入,使上述基 板在上述指定之氣體環境中曝露於指定時間之上述工 程,在該電漿處理後停止施加上述髙頻,在停止施加 上述高頻之後亦使上述電漿處理時流入上述處理室內 之氣體中所有之氣體繼續流入|並在上述處理室內使 上述基板在上述指定之氣體環境中曝露於上述指定之 時間。 9. 如申請專利範圍第3或4項之電漿處理方法,其中該 指定之氣體環境和上述第2之指定之氣體環境,在上 述處理室內使氣體繼續流動之氣體環境。 -3- ---------裝ο------订----r--^線Λ「 /i (請先M讀背面之注f項再填寫本頁) 本紙AUUt逍用中家樣準(CNS ) Λ4規格(210X297公釐) Λ5 451000 六、申請專利範固 B8
    C8 D8 (請先《讀背面之注意事項再埃寫本頁) 10·如申請專利範圍第3或4項之電漿處理方其中該 指定之氣體環境和上述第2之指定氣體環境是從上述 處理室停止排氣之氣體環境· 11·如申請專利範圍第3或4項之電漿處理方法,其中該 指定之氣體環境和上述第2指定之氣體環境是被控制 壓力之氣體環境》 12. 如申請專利範圍第10項之電漿處理方法,其中該指定 之氣體環境和上述第2指定之氣體環境是被控制成壓 力與電漿處理時相同之氣體環境。 13. 如申請專利範圍第3或4項之電漿處理方法,其中該 指定之氣體環境和上述第2指定之氣體環境是被控制 流量與電漿處理時相同之氣體環境。 14·如申請專利範圍第10項之電漿處理方法,其中該指定 之氣體環境和上述第2指定之氣體環境是被控制成Μ 力爲0.2至1.5Torr之氣體環境》 15. 如申請專利範圍第3或4項之電漿處理方法,其中該^ 指定氣體之環境及上述第2指定之氣體環境,由電漿 處理時所使用氣體中1種以上之氣體所形成。 經濟部智慧財產局員工消費合作杜印敦 16. 如申請專利範圍第3或4項之電漿處理方法,其中該 指定之氣體環境和上述第2指定之氣體環境·包含至 少有1種還原性氣體之氣體環境。 17. 如申請專利範圍第3或4項之電漿處理方法,其中該 指定之氣體環境和上述第2指定之氣體環境,包含至 少有一種在構造式中具有氧原子氣體之氣體環境* -4- 本紙張尺度適用中國國家揉率(CNS M4规格(210X297公釐) Hlj 〇 ο 申請專利範圍 Α8 Β8 C8 D8
    jS _ 一 :>" j 18.如申請專利範圍第3或4項之電中該 電漿處理|是利用電漿CVD法對上述基板上成膜。 (请先«讀背面之注$項存4寫本買) 19·如申請專利範圍第3或4項之電漿處理方法,其中該 電漿處理是利用電萊CVD法對上述基板上之成膜: 上述指定之氣體環境和上述第2指定之氣體環境, 由構造式中包含被成膜成分原子之氣體所形成之氣體 TW 環。 2 0·如申請專利範圍第19項之電漿處理方法*其中該電漿 處理是利用電漿CVD法進行氧化矽膜之成膜: 上述指定之氣體環境和上述第2指定之氣體環境, 是由Si原子在其構造式中具有之氣體,氧原子在其構 造式中具有之氣體,以及Si原子及氧原子在其構造式 中具有之氣體中至少一種以上之氣體所形成之氣體環 境。 21. 如申請專利範圍第19項之電漿處理方法,其中該電漿 處理是利用電漿CVD法進行氮化矽膜之成膜: . 上述指定之氣體環境和上述第2指定之氣體環境, 經濟部智慧財產局員工消費合作社印製 由Si原子在其構造式中具有之氣體,氮原子在其構造 式中具有之氣體,以及Si原子及氮原子在其構造式中 具有之氣體中至少一種以上之氣體所形成之氣體環 境。 22. 如申請專利範圍第19項之電漿處理方法,其中該電漿 處理是利用電漿CVD法進行摻雜有13族或15族不純 物非晶形矽膜之成膜; -5- 本紙張尺度逋用中國B家揉準(CNS > A4规格(210X297公釐) Α8 Β8 C8 D8
    4 5 1 ο ο 0 — 六、申請專利範圍 (請先閲讀背面之注f項再填寫本頁) 上述指定之氣體環境和上述第2指定之氣體環境, 在上述非晶形矽膜爲摻雜有13族不純物之非晶形矽膜 之場合時是由Si原子在其構造式中具有之氣體,13族 原子在其構造式中具有之氣體,以及Si原子及13族原 子在其構造式中具有之氣體中至少一種以上之氣體所 形成之氣體環境, 在上述非晶形矽膜爲摻雜有15族不純物非晶形矽膜 之場合時由Si原子在其構造式中具有之氣體,15原子 在其構造式中具有之氣體,以及Si原子及15族原子在 其構造式中具有之氣體中至少一種以上之氣體所形成 之氣體環境》 23. 如申請專利範圍第19項之電漿處理方法,其中該電 槳處理是利用電漿CVD法進行η型之非晶形矽膜之 成膜: 經濟部智慧財產局員工消費合作社印製 上述指定之氣體環境和上述第2指定之氣體環境, 是由Si原子在其構造式中具有之氣體,磷原子在其構' 造式中具有之氣體•以及Si原子及磷原子在其構造式 中具有之氣體中至少一種以上氣體所形成之氣體環 境。 24. 如申請專利範圍第22項之電漿處理方法’其中該電 漿處理是利用電漿CVD法進行η型之非晶形矽膜之 成膜; 上述指定之氣體環境和上述第2指定之氣體環境’ 是由Si原子在其構造式中具有之氣體’磷原子在其構 -6- 本紙張尺度適用中困國家揉準(CNS >A4规格(2ι〇χ297公羡) A8 B8 C8 D8
    451000 六、申請專利範圍 (請先Μ讀背面之注意事項再填寫本頁) 造式中具有之氣體,以及Si原子及磷原子在其構造式 中具有之氣體中至少一種以上氣體所形成之氣體環 境。 2 5.如申請專利範圍第19項之電漿處理方法,其中該電 漿處理,是利用電漿CVD法進行非晶形矽膜之成膜: 上述指定之氣體環境和上述第2指定之氣體環境, 在栴造式中具有Si原子氣體所形成之氣體環境。 26.如申請專利範圍第19項之電漿處理方法,其中該指 定之氣體環境及上述第2指定之氣體環境更包含有惰 性氣體或氫氣。 2 7.如申請專利範園第20項之電漿處理方法,其中在構 造式中具有Si原子之上述氣體,是以Sin Hin + 2(n爲 1以上之整數)表示之氣體。 28.如申請專利範圔第21項之電漿處理方法,其中在構 造式中具有Si原子之上述氣體,是以SinHZn + 2(n爲 1以上之整數)表示之氣體。 ’ 2 9.如申請專利範圍第22項之電槳處理方法,其中在構 經濟部智慧財是局員工消費合作社印製 造式中具有Si原子之上述氣體,是以SinH2n + 1(n爲 1以上之整數)表示之氣體。 3 0.如申請專利範圍第23項之電漿處理方法,其中在構造 式中具有Si原子之上述氣體,是以SinHln + 1(ii爲1以 上之整數)表示之氣體。 31.如申請專利範圍第25項之電漿處理方法,其中在構造 式中具有Si原子之上述氣體,是以SinH2n + 2(n爲1以 本紙張尺度遍用中國國家標準(CNS > Α4現格(210Χ297公釐) 451000 A8 Β8 C8 D8
    經濟部智慧財4^肖工消費合作社印製 六、申請專利範圍 上之整數)表示之氣體。 3 2.如申請專利範圍第27項之電漿處理方法,其中該指定 之氣體環境和上述第2指定之氣體環境更包含有H2 氣體= 33·如申請專利範圍第21項之電漿處理方法,其中在構造 式中具有Si原子之上述氣體,是選自SiF4,SiH^Clj 及Si2F6所成群組中1種以上之氣體。 3 4·如申請專利範圍第20項之電漿處理方法 式中具有氧原子之上述氣體,是選自n2o 及〇2所成群組中1種以上之氣體》 3 5.如申請專利範圍第21項之電漿處理方法 式中具有氮原子之上述氣體,是選自NH3 所成群組中1種以上之氣體。 3 6.如申請專利範圍第23項之電漿處理方法 式中具有磷原子之上述氣體爲PH3。 37.如申請專利範圍第1項至4項中任何一項之電漿處理 方法,其中在停止上述髙頻之施加後,更將稀有氣體 加於上述指定之氣體環境。 3S·如申請專利範圍第1項至4項中任何一項之電漿處理 方法,其中該電漿處理是蝕刻處理,對於在構造式中 具有F原子之氣體,和選自H^He-N^O:,NH3 和CO所成群組中1種以上之氣體,施加高頻來產生 電漿,利用該電漿來對氧化矽膜、氮化矽膜、非晶形 矽膜、n+ -非晶形矽膜、軍結晶矽膜和多結晶矽膜中 其中在構造 co2 · CO 其中在構造 n2 m nf3 其中在構造 {請先聞讀背面之注意事項再填寫本頁) -L-. 0· 訂 •I—‘ 線 -8- 本紙俵尺度適用中國國家標準(CNS > A4現格(210X297公釐) 451000 A8 B8 C8 D8 六
    經濟部智葸財^兑3工消費合作社印製 申請專利乾圍时 3. 2R 之任何一種膜來蝕刻; 上述指定之氣體環境及上述第2指定之氣體環境, 是F原子在其構造式中具有之氣體,選自H2、He、Νζ、 01、]\113和(:0所成群組中1種以上之氣體所成之氣 體環境。 39.如申請專利範圍第1項至4項中任何一項之電娥處理 方法,其中該電漿處理,是蝕刻處理,對於C1原子在 其構造式中具有之氣體,與選自H2、He ' Ν2、02、ΝΗ3 和CO所成群組中1種以上之氣體,施加高頻來產生 電漿,利用該電漿來對氧化矽膜、氮化矽膜、非晶形 矽膜、n+-非晶形矽膜、單結晶矽膜和多結晶矽膜中之 任何一種膜進行蝕刻: 上述指定之氣體環境和上述第2指定之氣體環境| 是以C1原子在其構造式中具有之氣體、和選自Hr He、 ^、02、~113和<:0所成群組中1種以上之氣體而成 之氣體環境。 40-如申請專利範圍第1項至4項中任何一項之電漿處理 方法,其中該電漿處理是蝕刻處理,對HI氣體施加高 頻來產生電漿,利用該電漿來對ITO膜進行蝕刻; 上述指定之氣體環境及上述第2指定之氣體環境是 HI氣體環境。 41.如申請專利範圍第1項至4項中任何一項之電漿處理 方法,其中該電漿處理是蝕刻處理,對選自HC丨、Cl2、 BC13和CC14所成群組中1種以上之氣體、施加高頻 來產生電漿,利用該電漿來對AI膜進行蝕刻: -9- (請先W讀背面之注f項再填寫本頁) 本紙張尺度適用中國國家橾率< CNS ) A4洗格(2I0X297公釐> 45100^ Α8 Β8 C8 D8
    經濟部"总时-巧技工消費合作社印製 广—六、申請專利範圍 上述指定之氣體環境和上述第2指定之氣體環境, 是選自HCI、Cl2' 8<:丨3和CC丨4所成群組中1種以上 之氣體所成之氣體環境。 42. 如申請專利範圍第1項至4項中任何一項之電漿處理 方法,其中該電漿處理是噴濺處理,對選自Ar、He、 Kr和Xe所成群組中1種以上而成之氣體,施加高頻 來產生電漿*利用該電漿進行噴濺處理: 上述指定之氣體環境和上述第2指定之氣體環境, 是選自Ar、He、Kr、Xe所成群組中之l種以上之氣 體而成之氣體環境。 43. 如申請專利範圍第1項至4項中任何一項之電漿處理 方法,其中該電漿處理是硏磨處理,對選自〇i,NF3和 H20所成群組中之I種以上而成之氣體•施加高頻來 產生電槳,利用該電漿來對光抗蝕劑進行硏磨; 上述指定之氣體環境及上述第2指定之氣體環境, 是選自〇2、CF3和Ηβ所成群組中1種以上氣體而成 之氣體環境。 44_ 一種電漿處理裝置,具備有: 處理室,用來對基板施予電漿處理: 高頻施加用電極,可以用來將高頻施加到處理室內; 基板裝載裝置,被設在上述處理室內; 基板脫離裝匮,用來使該基板脫離基板裝載裝置; 氣體供給管,被設置成與上述處理室連通; 排氣管,設成與上述之處理室連通:以及 控制器, -10- 本紙張尺度適用中國國家棣準(CNS ) Α4说格(210X29?公釐) (請先閱讀背面之注意事項再填寫本頁) 訂 線
    A8 5 1 〇 u ^ S D8 六、申請專利範圍 其控制方式是利用氣體供給管將電漿處理用氣體供 給到處理室內,同時利用之排氣管對氣體一面排氣, 一面控制使處理室內成爲指定之減壓,利用電極對氣 體施加高頻,來對被裝載在基板裝載台之基板作指定 時間之電漿處理,其特徵爲:以該控制器,於該電漿 處理後停止高頻之施加,其後,自該氣體供給管使氣 體流動在該氣體之構造式中包含電漿處理時所使用之 電漿處理用氣體流動入該處理室內之構造式中包含之 原子;然後,由基板脫離裝置使基板自基板脫離裝置 脫離》 45. 如申請專利範圍第44項之電漿處理裝置,其中該高 頻施加用電極,是在上述處理室內被設成互相平行之 平行平板型之2個電極: 上述之基板裝載裝置被設在2個電極中之一方,或 上述之基板裝載裝置是上述2個電極中之上述一方 之電極》 46. 如申請專利範圍第44項或45項之電漿處理裝置,其 中該控制器,自停止上述高頻之施加後起,或從停止 上述高頻之施加起經過指定時間之後,利用上述基板 脫離裝置來使上述基板脫離上述基板裝載裝置。 47. 如申請專利範圍第24項之電漿處理方法,其中在構造 式中具有Si原子之上述氣體,是以SiBH2„ + i(n爲1以 上之整數)表示之氣體。 -11- 本紙張尺度適用中國圃家樣準(CNS )八4規格(210X297公着) l·--------f,------訂---,--- 線Ί {請先閲讀背面之注意事項再填寫本頁) 經濟部t.i財4.^8工消費合作社印製
TW085116163A 1995-12-28 1996-12-27 Plasma treatment method and apparatus thereof TW451000B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP35270095 1995-12-28

Publications (1)

Publication Number Publication Date
TW451000B true TW451000B (en) 2001-08-21

Family

ID=18425841

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085116163A TW451000B (en) 1995-12-28 1996-12-27 Plasma treatment method and apparatus thereof

Country Status (3)

Country Link
US (1) US6194037B1 (zh)
KR (1) KR100267418B1 (zh)
TW (1) TW451000B (zh)

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528357B2 (en) * 1998-03-13 2003-03-04 Kabushiki Kaisha Toshiba Method of manufacturing array substrate
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
JP2002075977A (ja) * 2000-08-30 2002-03-15 Applied Materials Inc 成膜方法及び成膜装置
KR101004173B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US20030168430A1 (en) * 2002-03-11 2003-09-11 Macronix International Co., Ltd. Etching method with less waste gases
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3970815B2 (ja) * 2002-11-12 2007-09-05 シャープ株式会社 半導体素子製造装置
AU2003297861A1 (en) * 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100628216B1 (ko) * 2004-12-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7666319B1 (en) * 2005-11-01 2010-02-23 Miradia Inc. Semiconductor etching process to release single crystal silicon mirrors
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
KR100764360B1 (ko) * 2006-04-28 2007-10-08 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP4925314B2 (ja) * 2007-05-30 2012-04-25 カシオ計算機株式会社 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
US8759228B2 (en) * 2007-10-09 2014-06-24 Micron Technology, Inc. Chemistry and compositions for manufacturing integrated circuits
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100151688A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Method to prevent thin spot in large size system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103426807B (zh) * 2012-05-18 2016-04-13 中国地质大学(北京) 一种配置取放装置和调节工件的位置的半导体刻蚀装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR20190038945A (ko) 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018156975A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
KR102537742B1 (ko) 2017-02-23 2023-05-26 도쿄엘렉트론가부시키가이샤 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109881187A (zh) * 2019-03-06 2019-06-14 北京捷造光电技术有限公司 一种气相沉积腔室
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282268A (en) * 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS5747711A (en) * 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
US4361595A (en) * 1981-01-28 1982-11-30 Rca Corporation Method for preparing an abrasive lapping disc
JPS60150632A (ja) 1984-01-18 1985-08-08 Tokuda Seisakusho Ltd 被処理物の離脱装置
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US4869922A (en) * 1986-01-24 1989-09-26 Ausimont S.P.A. Method of coating with polyfluorocarbons
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5098865A (en) * 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
JPH04263423A (ja) * 1991-02-18 1992-09-18 Kokusai Electric Co Ltd 連続処理エッチング方法及びその装置
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JP3170849B2 (ja) * 1992-03-31 2001-05-28 松下電器産業株式会社 ドライエッチング方法
US5254497A (en) * 1992-07-06 1993-10-19 Taiwan Semiconductor Manufacturing Company Method of eliminating degradation of a multilayer metallurgy/insulator structure of a VLSI integrated circuit
JPH06188305A (ja) 1992-12-17 1994-07-08 Tokyo Electron Ltd 被吸着体の離脱装置および被吸着体の離脱方法およびプラズマ処理装置
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
US5441768A (en) * 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
JP3237401B2 (ja) * 1994-06-14 2001-12-10 株式会社日立製作所 真空処理装置
US5653812A (en) * 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills

Also Published As

Publication number Publication date
KR100267418B1 (ko) 2000-10-16
US6194037B1 (en) 2001-02-27
KR970052776A (ko) 1997-07-29

Similar Documents

Publication Publication Date Title
TW451000B (en) Plasma treatment method and apparatus thereof
US4981724A (en) Deposition of silicon oxide films using alkylsilane liquid sources
CN111593329A (zh) 包括处理步骤的循环沉积方法及用于其的装置
JP5393895B2 (ja) 半導体装置の製造方法及び基板処理装置
US11417518B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN101158032B (zh) 成膜装置及其使用方法
JP6573578B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20160284539A1 (en) Method of Manufacturing Semiconductor Device
JP2003332333A (ja) 絶縁膜の低温蒸着法
CN108962733A (zh) 半导体装置的制造方法、基板处理装置和记录介质
US8518834B2 (en) Method and apparatus for forming oxide film on carbon film
JPH07176484A (ja) 窒化アルミニューム面を有するサセプタをサセプタの浄化後珪化タングステンで処理することによって半導体ウエハ上に珪化タングステンを一様に堆積する方法
US9472394B2 (en) Method of forming silicon oxide film
CN207973800U (zh) 用于处理基板的系统
JP2004266262A (ja) Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
JPH06240459A (ja) 酸化ケイ素薄膜の形成法
JPS621565B2 (zh)
JP7391064B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
CN111463096B (zh) 基板处理装置的清洗方法和基板处理装置
JP3422345B2 (ja) タングステン膜の形成方法
JPH09213673A (ja) 半導体製造装置及びシリコン酸化膜の除去方法
TW522475B (en) Method for improving chemical vapor deposition processing
JPH08115976A (ja) 低誘電体膜の形成方法
JP3240305B2 (ja) 固体の選択成長方法
US12033852B2 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent