KR970052776A - 플라스마 처리방법 및 플라스마 처리장치 - Google Patents

플라스마 처리방법 및 플라스마 처리장치 Download PDF

Info

Publication number
KR970052776A
KR970052776A KR1019960072519A KR19960072519A KR970052776A KR 970052776 A KR970052776 A KR 970052776A KR 1019960072519 A KR1019960072519 A KR 1019960072519A KR 19960072519 A KR19960072519 A KR 19960072519A KR 970052776 A KR970052776 A KR 970052776A
Authority
KR
South Korea
Prior art keywords
gas
plasma
substrate
gas atmosphere
predetermined
Prior art date
Application number
KR1019960072519A
Other languages
English (en)
Other versions
KR100267418B1 (ko
Inventor
마사토 데라사키
가즈노리 즈츠구치
Original Assignee
시바타 쇼타로
고쿠사이덴키 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시바타 쇼타로, 고쿠사이덴키 가부시키가이샤 filed Critical 시바타 쇼타로
Publication of KR970052776A publication Critical patent/KR970052776A/ko
Application granted granted Critical
Publication of KR100267418B1 publication Critical patent/KR100267418B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 플라즈마 처리종료후의 기판반송미스나 절연파괴를 방지할 수 있는 플라즈마 처리방법을 제공하는 것을 목적으로 한다.
기판(10)을 기판재치대(44)상에 재치하고, 내측조(70)내를 배기하는 한편, 반응가스 도입관(37)으로부터 반응가스 SiH4및 N2O를 내측조(70)내에 도입하여 내측조(70)내를 30~100Pa의 압력으로 압력조절을 행하면서, 고주파전력을 인가하여 플자즈마를 발생시켜서 기판(10)상에 SiO2막의 성막을 행한다. 성막에 필요한 시간이 경과하면, 고주파전력의 인가는 멈추고, 반응가스의 도입, 배기, 내측조(70)내의 압력조절은 계속한다. 이 상태에서, 양극 히터(41)를 하강시키고, 기판상승 히터(52)를 상승시켜서 기판재치대(44)로부터 기판(10)을 들어올린다. 기판(10)이 들어올려진 후에, 반응가스의 공급 및 내측조(70)내의 압력조절을 멈추고, 내측조(70), 외측조(20)내를 고진공으로 한다. 그후, 기판(10)을 기판반출구(23)로부터 반출한다.

Description

플라즈마 처리방법 및 플라즈마 처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 일실시예의 형태인 플라즈마 CVD방법 및 플라즈마 CVD장치를 설명하기 위한 종단면도.

Claims (43)

  1. 처리실내의 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 상기 처리실내의 기판재치대에 재치된 기판의 플라즈마처리를 행하는 공정과, 상기 고주파의 인가를 멈춘후, 상기 처리실내에 있어서 상기 기판을 소정의 가스분위기중에 소정시간 쬐는 공정과, 그 후, 상기 기판을 상기 처리실로부터 반출하는 고정을 가지는 것을 특징으로 하는 플라즈마 처리방법.
  2. 제1항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 소정의 가스분위기중에서 상기 기판의 대전을 제거하는 공정인 것을 특징으로 하는 플라즈마 처리방법.
  3. 제1항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 소정의 가스분위기중에서 상기 기판을 상기 기판재치대로부터 분리하는 공정을 포함하고 있는 것을 특징으로 하는 플라즈마 처리방법.
  4. 제1항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이 상기 처리실내에 있어서, 상기 기판을 상기 기판재치대에 재치한 상태로, 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간쬐는 공정이고, 그 후, 상기 처리실내에 있어서 상기 기판을 상기 기판재치대로부터 분리하는 공정을 또한 가지는 것을 특징으로 하는 플라즈마 처리방법.
  5. 제4항에 있어서, 상기 기판을 상기 기판재치대 분리하는 공정을, 제2소정의 가스분위기중에서 행하는 것을 특징으로 하는 플라즈마 처리방법.
  6. 제5항에 있어서, 상기 제2소정의 가스분위기의 가스가 상기 소정의 분위기의 가스와 동일한 것을 특징으로 하는 플라즈마 처리방법.
  7. 제1항 내지 제6항의 어느 한항에 있어서, 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 고주파의 인가를 멈춘 직후에 상기 처리실내에 있어서 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 시간 쬐는 공정인 것을 특징으로 하는 플라즈마 처리방법.
  8. 제1항 내지 제7항의 어느 한항에 있어서, 상기 기판의 플라즈마 처리를 행하는 상기 공정이 상기 처리실내에 가스를 유입함과 동시에 상기 처리실로부터 가스를 배기하여 상기 처리실내를 소정의 압력으로 제어하면서, 상기 처리실내의 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 상기 처리실내의 상기 기판재치대에 재치된 상기 기판의 플라즈마처리를 행하는 공정이고, 상기 기판을 소정의 가스분위기중에 쬐는 상기 공정이 상기 플라즈마처리후 상기 고주파의 인가를 멈추고, 상기 처리실내에 유입하는 가스의 적어도 1종류의 가스의 유입을 상기 고주파의 인가를 멈춘 후에도 계속하면서 상기 처리실내에 있어서 상기 소정의 가스분위기중에 상기 소정의 쬐는 공정인 것을 특징으로 하는 플라즈마 처리방법.
  9. 제8항에 있어서, 상기 처리실냉에 유입하는 가스중의 적어도 1종류의 가스의 유입을 계속하면서 상기 기판을 상기 소정의 가스분위기중에 쬐는 상기 공정이, 상기 플라즈마처리후 상기 고주파의 인가를 멈추고, 상기 플라즈마처리시에 상기 처리실내에 유입하는 가스중 모든 가스의 유입을 상기 고주파의 인가를 멈춘 후에도 계속하면서 상기 처리실내에 있어서 상기 기판을 상기 소정의 가스분위기중에 상기 소정의 쬐는 공정인 것을 특징으로 하는 플라즈마 처리방법.
  10. 제1항 내지 제9항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 상기 처리실내에 있어서 가스를 계속 유입하는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  11. 제1항 내지 제9항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 상기 처리실로부터의 배기를 멈춘 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  12. 제1항 내지 제11항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 입력제어된 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  13. 제12항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 플라즈마 처리시와 같은 압력으로 제어된 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  14. 제1항 내지 제13항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 플라즈마 처리시와 같은 유량으로 제어된 가스를 유입하는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  15. 제12항 내지 제14항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 압력을 0.2내지 1.5Torr로 제어된 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  16. 제1항 내지 제15항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 플라즈마 처리시에 사용한 가스중의 1종류 이상의 가스로 이루어지는 것을 특징으로 하는 플라즈마 처리방법.
  17. 제1항 내지 제16항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 적어도 1종류의 환원성가스를 포함하는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  18. 제1항 내지 제17항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 산소원자를 그 구조식중에 가지는 가스를 적어도 1종류 포함하는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  19. 제1항 내지 제18항의 어느 한항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 의한 상기 기판상으로 성막인 것을 특징으로 하는 플라즈마 처리방법.
  20. 제1항 내지 제19항의 어느 한항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 의한 상기 기판상으로 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 성막된 막의 성분원자를 구 구조식중에 포함하는 가스로 이루어지는 분위기인 것을 특징으로 하는 플라즈마 처리방법.
  21. 제20항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 의한 산화실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 산소원자를 그 구조식중에 가지는 가스 및 Si원자 및 산소원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라즈마 처리방법.
  22. 제20항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 의한 산화실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 산소원자를 그 구조식중에 가지는 가스 및 Si원자 및 산소원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라즈마 처리방법.
  23. 제20항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 의한 13족 또는 15족의 불순물이 도핑된 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 상기 비결정질 실리콘막이 13족의 불순물이 도핑된 비결정질 실리콘막인 경우에는 Si원자를 그 구조식중에 가지는 Si원자 및 13족의 원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기이고, 상기 비결정질 실리콘막이 15족의 불순물이 도핑된 비결정질 실리콘막인 경우에는, Si원자를 그 구조식중에 가지는 가스, 15족의 원자를 그 구조식중에 가지는 가스 및 Si원자 및 15족의 원자를 그 구조식중에 가지는 가스의 적어도 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라즈마 처리방법.
  24. 제20항 또는 제23항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 의한 n형의 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스, 인원자를 그 구조식중에 가지는 가스 및 Si원자 및 인원자를 그 구조식중에 가지는 1종류 이상의 가스로 이루어지는 분위기인 것을 특징으로 하는 플라즈마 처리방법.
  25. 제20항에 있어서, 상기 플라즈마 처리가 플라즈마 CVD법에 비결정질 실리콘막의 성막이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 Si원자를 그 구조식중에 가지는 가스로 이루어지는 분위기인 것을 특징으로 하는 플라즈마 처리방법.
  26. 제20항 내지 제25항의 어느 한항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 불활성가스 또는 수소가스를 또한 포함하는 것을 특징으로 하는 플라즈마 처리방법.
  27. 제21항 내지 제26항의 어느 한항에 있어서, Si원자를 그 구조식중에 가지는 상기 가스가 Sni2nH2n+n(n은 1이상의 정수)로 표시되는 가스인 것을 특징으로 하는 플라즈마 처리방법.
  28. 제27항에 있어서, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 H2가스를 또한 포함하는 것을 특징으로 하는 플라즈마 처리방법.
  29. 제22항에 있어서, Si원자를 그 구조식중에 가지는 상기 가스가 SiF4, SiH2Cl2및 Si2F6으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 플라즈마 처리방법.
  30. 제21항에 있어서, 산소원자를 그 구조식중에 가지는 상기 가스가 N4O, CO2,CO및 O2로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 플라즈마 처리방법.
  31. 제22항에 있어서, 질소원자를 그 구조식중에 가지는 상기 가스가 NH3, N2및 F3으로 이루어지는 군으로부터 선택되는 1종류 이상의 가스인 것을 특징으로 하는 플라즈마 처리방법.
  32. 제24항에 있어서, 인원자를 그 구조식중에 가지는 상기 가스가 PH3인 것을 특징으로 하는 플라즈마 처리방법.
  33. 제1항 내지 제32항의 어느 한항에 있어서, 상기 고주파의 인가를 멈춘후, 상기 소정의 가스분위기에 다시 희석가스를 첨가한 것을 특징으로 하는 플라즈마 처리방법.
  34. 제1항 내지 제16항의 어느 한항에 있어서, 상기 플라즈마처리가 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막의 어느 하나의 막을 F원자를 그 구조식중에 가지는 가스와, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 F원자를 그 구조식중에 가지는 가스, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  35. 제1항 내지 제16항의 어느 한항에 있어서, 상기 플라즈마처리가 산화실리콘막, 질화실리콘막, 비결정질 실리콘막, n-비결정질 실리콘막, 단결정 실리콘막 및 다결정 실리콘막의 어느 하나의 막을 CI원자를 그 구조식중에 가지는 가스와, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 CI원자를 그 구조식중에 가지는 가스, H2, He, N2, O2, NH3및 CO로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  36. 제1항 내지 제16항의 어느 한항에 있어서, 상기 플라즈마처리가 ITO막을 HI가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 HI가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  37. 제1항 내지 제16항의 어느 한항에 있어서, 상기 플라즈마처리가 AI막을 HCI , CI2, BCI3및 CCI4로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 에칭하는 처리이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 HCI , CI2, BCI3및 CCI4로이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  38. 제1항 내지 제16항의 어느 한항에 있어서, 상기 플라즈마처리가 Ar, He, Kr 및 Xe로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 스피터처리이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 Ar, He, Kr 및 Xe로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  39. 제1항 내지 제16항의 어느 한항에 있어서, 상기 플라즈마처리가 포토 레지스트를 O2, NF3및 H2O로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스에 고주파를 인가하여 발생시킨 플라즈마를 이용하여 에싱하는 처리이고, 상기 소정의 가스분위기 및 상기 제2소정의 가스분위기가 O2, NF3및 H2O로 이루어지는 군으로부터 선택되는 1종류 이상의 가스로 이루어지는 가스분위기인 것을 특징으로 하는 플라즈마 처리방법.
  40. 기판에 플라즈마처리를 실시하는 처리실과, 상기 처리실내에 고주파를 인가가능한 고주파인가용 전극과, 상기 처리실내에 설치된 기판재치수단과, 상기 처리실내에 연통하는 가스공급관과, 상기 처리실내에 연통하는 배기관과, 상기 가스공급관에 의해 상기 처리실내에 플라스틱 처리용 가스를 공급함과 동시에 상기 배기관으로부터 상기 가스를 배기하면서 상기 처리실내를 소정의 감압으로 제어하면서 상기 전극에 의해 상기 가스에 고주파를 인가하여 상기 기판재치대에 재치된 기판을 소정시간 플라즈마처리하고, 계속해서 상기 고주파의 인가를 멈춘 후, 상기 플라즈마 처리시에 사용한 플라즈마 처리용 가스의 구조식중에 포함되는 원자가 그 구조식중에 포함되는 가스를 유입하도록 제어하는 컨트롤러를 가지는 것을 특징으로 하는 플라즈마 처리방법.
  41. 제40항에 있어서, 상기 고주파 인가용 전극이 상기 처리실내에 서로 평행하게 설치된 평행평판형의 2개의 전극이고, 상기 기판재치수단이 상기 2개의 전극중에 한쪽에 설치되고, 또는 상기 기판재치수단이 상기 제2전극중의 상기 한쪽의 전극인 것을 특징으로 하는 플라즈마 처리방법.
  42. 제40항 또는 제41항에 있어서, 상기 기판재치수단으로부터 상기 기판을 이탈시키는 기판이탈수단을 또한 가지고, 상기 컨트롤러가 상기 고주파의 인가를 멈춘후, 플라즈마 처리시에 사용한 플라즈마 처리용 가스의 구조식중에 포함되는 원자가 그 구조식중에 포함되는 가스를 소정시가 유입한 후, 기판이탈수단에 의해 상기 기판을 상기 기판재치수단으로부터 이탈하도록 제어하는 컨트롤러인 것을 특징으로 하는 플라즈마 처리장치.
  43. 제40항 또는 제41항에 있어서, 상기 기판재치수단으로부터 상기 기판을 이탈시키는 기판이탈수단을 또한 가지고, 상기 컨트롤러가 상기 고주파의 인가를 멈춘후에도, 플라즈마 처리시에 사용한 플라즈마 처리용 가스를 계속해서 상기 플라즈마 공급관에 의해 상기 처리실내에 계속 공급함과 동시에, 상기 고주파의 인가를 멈춘 직후부터 또는 상기 고주파의 인가를 멈추고 나서 소정의 시간이 경과한 후에, 상기 기판이탈수단에 의해 상기 기판을 상기 기판재치수단으로부터 이탈하도록 제어하는 컨트롤러인 것을 특징으로 하는 플라즈마 처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960072519A 1995-12-28 1996-12-26 플라스마처리방법및플라스마처리장치 KR100267418B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP35270095 1995-12-28
JP95-352700 1995-12-28

Publications (2)

Publication Number Publication Date
KR970052776A true KR970052776A (ko) 1997-07-29
KR100267418B1 KR100267418B1 (ko) 2000-10-16

Family

ID=18425841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960072519A KR100267418B1 (ko) 1995-12-28 1996-12-26 플라스마처리방법및플라스마처리장치

Country Status (3)

Country Link
US (1) US6194037B1 (ko)
KR (1) KR100267418B1 (ko)
TW (1) TW451000B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7661386B2 (en) 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528357B2 (en) * 1998-03-13 2003-03-04 Kabushiki Kaisha Toshiba Method of manufacturing array substrate
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
JP2002075977A (ja) * 2000-08-30 2002-03-15 Applied Materials Inc 成膜方法及び成膜装置
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US20030168430A1 (en) * 2002-03-11 2003-09-11 Macronix International Co., Ltd. Etching method with less waste gases
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3970815B2 (ja) * 2002-11-12 2007-09-05 シャープ株式会社 半導体素子製造装置
JP4594235B2 (ja) * 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
KR100628216B1 (ko) * 2004-12-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7666319B1 (en) * 2005-11-01 2010-02-23 Miradia Inc. Semiconductor etching process to release single crystal silicon mirrors
KR100684910B1 (ko) * 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
KR100764360B1 (ko) * 2006-04-28 2007-10-08 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP4925314B2 (ja) * 2007-05-30 2012-04-25 カシオ計算機株式会社 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
US8759228B2 (en) * 2007-10-09 2014-06-24 Micron Technology, Inc. Chemistry and compositions for manufacturing integrated circuits
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8647722B2 (en) * 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100151688A1 (en) * 2008-12-12 2010-06-17 Applied Materials, Inc. Method to prevent thin spot in large size system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103426807B (zh) * 2012-05-18 2016-04-13 中国地质大学(北京) 一种配置取放装置和调节工件的位置的半导体刻蚀装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018044713A1 (en) 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018156985A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
WO2018156975A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109881187A (zh) * 2019-03-06 2019-06-14 北京捷造光电技术有限公司 一种气相沉积腔室
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282268A (en) * 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS5747711A (en) * 1980-08-08 1982-03-18 Fujitsu Ltd Chemical plasma growing method in vapor phase
US4361595A (en) * 1981-01-28 1982-11-30 Rca Corporation Method for preparing an abrasive lapping disc
JPS60150632A (ja) 1984-01-18 1985-08-08 Tokuda Seisakusho Ltd 被処理物の離脱装置
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US4869922A (en) * 1986-01-24 1989-09-26 Ausimont S.P.A. Method of coating with polyfluorocarbons
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US5098865A (en) * 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
JPH04263423A (ja) * 1991-02-18 1992-09-18 Kokusai Electric Co Ltd 連続処理エッチング方法及びその装置
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JP3170849B2 (ja) * 1992-03-31 2001-05-28 松下電器産業株式会社 ドライエッチング方法
US5254497A (en) * 1992-07-06 1993-10-19 Taiwan Semiconductor Manufacturing Company Method of eliminating degradation of a multilayer metallurgy/insulator structure of a VLSI integrated circuit
JPH06188305A (ja) 1992-12-17 1994-07-08 Tokyo Electron Ltd 被吸着体の離脱装置および被吸着体の離脱方法およびプラズマ処理装置
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5380566A (en) * 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
US5441768A (en) * 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
JP3237401B2 (ja) * 1994-06-14 2001-12-10 株式会社日立製作所 真空処理装置
US5653812A (en) * 1995-09-26 1997-08-05 Monsanto Company Method and apparatus for deposition of diamond-like carbon coatings on drills

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7661386B2 (en) 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
US8128751B2 (en) 2001-02-09 2012-03-06 Tokyo Electron Limited Film-forming apparatus

Also Published As

Publication number Publication date
KR100267418B1 (ko) 2000-10-16
TW451000B (en) 2001-08-21
US6194037B1 (en) 2001-02-27

Similar Documents

Publication Publication Date Title
KR970052776A (ko) 플라스마 처리방법 및 플라스마 처리장치
US20210010160A1 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
CN101765900B (zh) 清洁基板表面的方法和设备
KR100363340B1 (ko) 플라즈마처리방법
AU2001247537A1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
KR100843018B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR910013466A (ko) 반도체 웨이퍼상에 텅스텐을 선택적으로 증착시키는 방법
KR950001953A (ko) 웨이퍼의 열처리방법
JP4126517B2 (ja) 気相加工装置
JP4378806B2 (ja) Cvd装置およびその基板洗浄方法
JP3112880B2 (ja) Cvd装置のクリーニング方法
JP6078604B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
JP3754157B2 (ja) プラズマ処理方法およびプラズマ処理装置
JPH05326477A (ja) 半導体基板表面のハロゲン除去方法
JPS6428925A (en) Formation of insulating film
JP2006294953A (ja) 半導体装置の製造方法及び製造装置
JPH10147877A (ja) ガスクリーニング方法
JP2003133290A (ja) レジスト剥離装置、レジスト剥離方法、半導体装置の製造方法
JP3433736B2 (ja) 半導体装置の製造方法
JP4127370B2 (ja) プラズマ処理方法
JPH04152626A (ja) 半導体装置の製造方法
TWI272682B (en) Method of hydrogenating poly-silicon layer
JP3139855B2 (ja) 表面処理方法
JP2002184760A (ja) ガラス基板対応プラズマ処理方法及び装置
JPH01223733A (ja) 炭化チタン系膜及び窒化チタン系膜のエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090623

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee