JP2023531687A - 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物 - Google Patents

半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物 Download PDF

Info

Publication number
JP2023531687A
JP2023531687A JP2022579111A JP2022579111A JP2023531687A JP 2023531687 A JP2023531687 A JP 2023531687A JP 2022579111 A JP2022579111 A JP 2022579111A JP 2022579111 A JP2022579111 A JP 2022579111A JP 2023531687 A JP2023531687 A JP 2023531687A
Authority
JP
Japan
Prior art keywords
iodine
etching
layer
silicon
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022579111A
Other languages
English (en)
Inventor
マルケジャーニ、ファブリツィオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2023531687A publication Critical patent/JP2023531687A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

パターン化された構造を形成するためのケイ素含有膜のエッチング方法、パターン化された構造を形成する一方でパターン化されたマスク層を補強する及び/又は強化する及び/又はその損傷を最小限にする方法、並びにパターン化された構造を形成するプロセスにおいてパターン化されたマスク層のエッチング耐性を増加させる方法が開示される。方法は、エッチングガスとして式CnHxFyIz(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有する活性化されたヨウ素含有エッチング化合物を使用することを含む。活性化されたヨウ素含有エッチング化合物は、パターン化されたハードマスク層に注入されるヨウ素イオンを生成し、それによってパターン化されたマスク層を強化する。【選択図】なし

Description

関連出願への相互参照
本出願は、全ての目的に関して、参照によって全体として本明細書に組み込まれる、2020年6月26日出願の米国特許出願第16/913,696号の利益を主張する。
パターン化された構造を形成するためのケイ素含有膜のエッチング方法、パターン化された構造を形成する一方でパターン化されたマスク層を補強する及び/又は強化する及び/又はその損傷を最小限にする方法、並びにパターン化された構造をヨウ素含有エッチング化合物を使用して形成するプロセスにおいてパターン化されたマスク層のエッチング耐性を増加させる方法が開示される。ヨウ素含有エッチング化合物は、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有する。
半導体産業においては、3DNANDに関して、複数のSiO/SiN又はSiO/ポリケイ素(p-Si)層のスタックのエッチングは重要である。例えば、Samsung Electronics Co.,Ltd.への米国特許出願公開第2011/0180941号明細書を参照されたい。マスクとエッチングされる層との間の高い選択性を有するエッチング剤が不可欠である。さらに、エッチングされた構造は、歪曲を有さないストレートな垂直プロファイル及び低いラインエッチ粗さ(LER)を有するべきである。
従来のエッチングガスとしては、オクタフルオロシクロブタン(cC)、ヘキサフルオロ-1,3-ブタジエン(C)、CF、CH、CHF及び/又はCHFが含まれる。C:Fの比率が増加すると(すなわち、C>C>CF)、選択性及びポリマー堆積速度が増加することは周知である。例えば、Hungらへの米国特許第6387287号明細書を参照されたい。加えて、Ar、Kr又はXeなどの不活性ガスなどの他のガスをプラズマに添加し、そこでそれらのガスはイオン化され、そしてウエハ表面へと加速し、表面に衝撃を与え、そしてエッチングプロセスを補助する。それらが不活性ガスであるため、それらはエッチングプロセスの化学反応に直接関与しない。
しかしながら、従来のエッチングの化学的性質は、少なくとも、プラズマエッチングプロセスの間の側壁上の不十分なエッチング抵抗ポリマー堆積のため、新規用途(例えば、3DNAND)で必要とされる20:1より高いアスペクト比を有するホール又はトレンチなどのフィーチャを提供することが不可能であり得る。側壁-C-ポリマー(式中、xは0.01~1の範囲であり、かつyは0.01~4の範囲である)は、エッチングに影響され得る。結果として、エッチングされたパターンは垂直ではあり得ず、かつエッチング構造は歪曲、寸法の変化、パターン崩壊及び/又は増加した粗さを示し得る。
歪曲は、しばしば非晶質炭素(a-C)材料であり得るマスク層の側壁エッチングに起因し得る。a-C材料は、マスクの開口の増加を引き起こし得、そして歪曲様又は角度のある/湾曲したエッチング構造をもたらす、プラズマ中の酸素ラジカルによってエッチングされ得る。
ヨウ素含有化合物は、エッチングガスとして及び/又は地球温暖化係数(GWP)の低減に使用されてきた。例えばGuptaら(米国特許第10,607,850号明細書)は、式C(式中、a=1~3であり、x=0~6であり、y=1~7であり、z=1~2であり、a=1である場合x+y+z=4であり、a=2である場合x+y+z=4又は6であり、a=3である場合x+y+z=6又は8である)を有するヨウ素含有エッチング化合物を開示している。Chung(米国特許第9,460,935号明細書)は、中でも、1,1,2,2-テトラフルオロ-1-ヨード-エタンを使用して生成したプラズマ下で第1及び第2のエッチング層をエッチングすることを開示している。Kareckiら、“Plasma etching of dielectric films with novel iodofluorocarbon chemistries:iodotrifluoroethylene and 1-iodoheptafluoropropane”,J.Vac.Sci.Technol.A 16,755(1998);特開2006/108484号公報;TWI343601号明細書も参照されたい。ヨウ素含有エッチング化合物は、パーフルオロカーボン化合物と比較して地球温暖化排出物を大幅に低減することが示されている(S.Karecki,L.Pruette,and R.Reif,J.Electrochem.Soc.145,4305(1998))を参照)。
歪曲を最小化すること、及び本用途(例えば、コンタクトエッチング又は3D NAND)のために必要な高アスペクト比(すなわち、最高200:1)を達成することは重要である。さらに、エッチングは、フォトレジストマスクへの選択性に限定されない。a-C、SiN、p-Si、SiC又は他の形態のSi材料(式中、a>0;b、c、d及びe≧0)などの他の材料の間で高い選択性を得ることは等しく重要である。
したがって、広範囲のプロセス条件に対して選択性及び高いアスペクト比を維持する、プラズマエッチング用途における使用のために適切なエッチングガス組成物が必要とされている。
パターン化された構造を形成する方法が開示され、この方法は:
基板上に配置されたケイ素含有膜と、ケイ素含有層上に配置されたパターン化されたマスク層とを有する基板が入っている反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入すること;
プラズマを活性化して、活性化されたヨウ素含有エッチング化合物を生成すること;及び
活性化されたヨウ素含有エッチング化合物とケイ素含有膜との間でエッチング反応を進行させて、パターン化されたマスク層からケイ素含有膜を選択的にエッチングし、それによってパターン化された構造を形成すること;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・酸化剤を反応チャンバー中に導入することをさらに含む;
・酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される;
・酸化剤がOである;
・不活性ガスを反応チャンバーに導入することをさらに含む;
・不活性ガスが、He、Ar、Xe、Kr、Ne及びNからなる群から選択される;
・不活性ガスがArである;
・不活性ガスがXeである;
・不活性ガスがKrである;
・ヨウ素含有エッチング化合物がハイドロフルオロカーボン化合物である;
・ヨウ素含有エッチング化合物が有機フッ素化合物である;
・ヨウ素含有エッチング化合物がフッ素含有炭化水素化合物である;
・ヨウ素含有エッチング化合物がフルオロカーボン化合物である;
・ヨウ素含有エッチング化合物が炭化水素化合物である;
・ヨウ素含有エッチング化合物が水素を含まない;
・ヨウ素含有エッチング化合物が水素を含む;
・ヨウ素含有エッチング化合物がフッ素を含む;
・ヨウ素含有エッチング化合物がフッ素を含まない;
・ヨウ素含有エッチング化合物がCI、C11I、C13I、C15I、C17I、C19I、C1021I、又はそれらの異性体である;
・ヨウ素含有エッチング化合物が、CHFI、CHF10I、CHF12I、CHF14I、CHF16I、CHF18I、C10HF20I、CHFI、CHFI、CHF10I、CHF12I、CHF14I、CHF16I、C10HF18I、CHFI、CHFI、CHFI、CHF10I、CHF12I、CHF14I、C10HF16I,CHF、CHF、CHF11、CHF13、CHF15、CHF17、C10HF19、CHF、CHF、CHF、CHF11、CHF13、CHF15、C10HF17、CHF、CHF、CHF、CHF、CHF11、CHF13、C10HF15、CHF、CHF、CHF10、CHF12、CHF14、CHF16、C10HF18、CHF、CHF、CHF、CHF10、CHF12、CHF14、C10HF16、CHF、CHF、CHF、CHF11、CHF13、CHF15、C10HF17、CHF、CHF、CHF、CHF、CHF11、CHF13、C10HF15、CHFI、CHF、CHF、CHF、CHF、CHF11、C10HF13、又はそれらの異性体である;
・ヨウ素含有エッチング化合物が、CI、CI、C11I、C13I、C15I、C17I、C1019I、CI、CI、CI、C11I、C13I、C15I、C1017I、C、C、C10、C12、C14、C16、C1018、C、C、C、C10、C12、C14、C1016、C、C、C、C11、C13、C15、C1017、C、C、C、C、C11、C13、C1015、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、又はそれらの異性体である;
・ヨウ素含有エッチング化合物が、CI、CI、C10I、C12I、C14I、C16I、C1018I、CI、CI、CI、C10I、C12I、C14I、C1016I、C、C、C、C11、C13、C15、C1017、C、C、C、C、C11、C13、C1015、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、C、C、C、C、C11、C13、C1015、C、C、C、C、C、C11、C1013、又はそれらの異性体である;
・ヨウ素含有エッチング化合物が、CI、CI、CI、C11I、C13I、C15I、C1017I、CI、CI、CI、CI、C11I、C13I、C1015I、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、C、C、C、C、C11、C13、C1015、CFI、C、C、C、C、C11、C1013、C、C、C、C、C10、C12、C1014、C、C、C、C、C、C10、C1012、又はそれらの異性体である;
・ヨウ素含有エッチング化合物が、CI又はその異性体である;
・プラズマにより活性化されたヨウ素含有エッチング化合物がケイ素含有膜と反応して、揮発性の副生成物を形成する;
・揮発性副生成物が反応チャンバーから除去される;
・ケイ素含有膜が、酸素、窒素、炭素、水素、炭素又はそれらの組合せを含む;
・ケイ素含有膜が、酸化ケイ素(SiO)、窒化ケイ素(SiN)、結晶Si、ポリシリコン(p-Si)、多結晶シリコン、非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi(式中、a>0であり;b、c、d、及びe≧0である)、交互のSiOとSiN(ONON)との層、交互のSiOとp-Si(OPOP)との層を含む;
・ケイ素含有膜が、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGe、又はそれらの組合せなどのドーパントを任意選択的に含む;
・ケイ素含有膜が、酸素、窒素、炭素、水素、又はそれらの組合せを含む;
・ケイ素含有膜が、SiO(式中、xは0~2の範囲であり、yは0~4の範囲であり、zは0~約1の範囲であり、kは0~1の範囲である)である;
・ケイ素含有膜がSiO層を含む;
・ケイ素含有膜がSiN層である;
・ケイ素含有膜が、交互のSiOとSiN(ONON)との層を含む;
・ケイ素含有膜が、交互のSiOとp-Si(OPOP)との層を含む;
・ケイ素含有膜が、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeなどのドーパントを含む;
・交互の層が、酸化ケイ素、窒化ケイ素、ポリシリコン、結晶シリコン、SiOCH、SiON、Si(a>0であり;b、c、d及びe≧0である)又はそれらの組合せの層を含む;
・交互の層が、酸素原子、窒素原子、炭素原子、水素原子又はそれらの組合せを含む;
・交互の層が、ケイ素含有膜である;
・交互の層が、酸化ケイ素の層と窒化ケイ素の層とを含む;
・交互の層が、酸化ケイ素と窒化ケイ素の交互の層を含む;
・交互の層が、酸化ケイ素と窒化ケイ素の交互の層である;
・交互の層が、酸化ケイ素の層とポリシリコンの層を含む;
・交互の層が、酸化ケイ素とポリシリコンの交互の層を含む;
・交互の層が、酸化ケイ素とポリシリコンの交互の層である;
・ハードマスク層が、ケイ素含有層の上に配置される;
・ハードマスク層が、パターン化されたハードマスク層である;
・ハードマスク層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、有機平坦化層又はそれらの組合せである;
・ハードマスク層が、CVD、PECVD、ALD、PEALD又はスピンオン堆積(SOD)非晶質炭素又はドープされた非晶質炭素、ケイ素含有スピンオンマスク、又は炭素含有スピンオンマスクの層である;
・ハードマスク層が、非晶質炭素(a-C)層である;
・ハードマスク層が、ドープされたカーボン層である;
・ドープされた非晶質カーボン層が、ホウ素がドープされたa-C層である;
・ドープされた非晶質カーボン層が、タングステンがドープされたa-C層である;
・交互の層が、ハードマスク層から選択的にエッチングされる;
・交互の層が、a-C層から選択的にエッチングされる;
・交互の層が、ドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層が、a-C層から選択的にエッチングされる;
・酸化ケイ素と窒化ケイ素の交互の層が、ドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層が、a-C層から選択的にエッチングされる;
・酸化ケイ素とポリシリコンの交互の層が、ドープされたカーボン層から選択的にエッチングされる;
・酸化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・酸化ケイ素層が、a-C層から選択的にエッチングされる;
・酸化ケイ素層が、ドープされたカーボン層から選択的にエッチングされる;
・窒化ケイ素層が、ハードマスク層から選択的にエッチングされる;
・窒化ケイ素層が、a-C層から選択的にエッチングされる;
・窒化ケイ素層が、ドープされたカーボン層から選択的にエッチングされる;
・ポリシリコン層が、ハードマスク層から選択的にエッチングされる;
・ポリシリコン層が、a-C層から選択的にエッチングされる;
・ポリシリコン層が、ドープされたカーボン層から選択的にエッチングされる;
・ケイ素含有膜が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層又は有機平坦化層から選択的にエッチングされる;
・酸化ケイ素層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層又は有機平坦化層から選択的にエッチングされる;
・ヨウ素含有エッチング化合物が、高いエッチング速度で酸化ケイ素層と窒化ケイ素層の両方をエッチングする;
・酸化ケイ素層と窒化ケイ素層の両方をエッチングするヨウ素含有エッチング化合物の選択性が、約1:2~約2:1の範囲である;
・交互のSiOとSiN(ONON)の層をエッチングするヨウ素含有エッチング化合物のプラズマが、SiO層対SiN層に関して約1:2~約2:1の選択性を有する;
・交互のSiOとSiN(ONON)の層をエッチングするヨウ素含有エッチング化合物のプラズマが、SiO層対SiN層に関して約1:1の選択性を有する;
・酸化ケイ素層と窒化ケイ素層の両方をエッチングするヨウ素含有エッチング化合物の選択性が、約1:1である;
・ヨウ素含有エッチング化合物が、高いエッチング速度で酸化ケイ素層とポリシリコン層の両方をエッチングする;
・酸化ケイ素層とポリシリコン層の両方をエッチングするヨウ素含有エッチング化合物の選択性が、約1:2~約2:1の範囲である;
・交互のSiOとp-Si(OPOP)の層をエッチングするヨウ素含有エッチング化合物のプラズマが、SiO層対p-Si層に関して約1:2~約2:1の選択性を有する;
・交互のSiOとp-Si(OPOP)の層をエッチングするヨウ素含有エッチング化合物のプラズマが、SiO層対p-Si層に関して約1:1の選択性を有する;
・酸化ケイ素層とポリシリコン層の両方をエッチングするヨウ素含有エッチング化合物の選択性が、約1:1である;
・ヨウ素含有エッチング化合物を使用して酸化ケイ素層をエッチングするエッチング速度が、従来のエッチングガスcC、C、CF、CHF、CFH、CH、又はそれらの組合せを使用して酸化ケイ素層をエッチングするエッチング速度よりも高い;
・ヨウ素含有エッチング化合物を使用する酸化ケイ素層のエッチング速度が、約640nm/分である;
・ヨウ素含有エッチング化合物を使用して酸化ケイ素層をエッチングするエッチング速度が、従来のエッチングガスcCを使用して酸化ケイ素層SiOをエッチングするエッチング速度よりも高い;
・ヨウ素含有エッチング化合物を使用して酸化ケイ素層をエッチングするエッチング速度が、従来のエッチングガスCを使用して酸化ケイ素層SiOをエッチングするエッチング速度よりも高い;
・CIを使用する酸化ケイ素層のエッチング速度が、凝縮を回避するためにプラズマエッチング用のヨウ素含有エッチング化合物を加熱しながらエッチングガスcC又はCを使用して酸化ケイ素層SiOをエッチングするエッチング速度よりも高い;
・CIを使用する酸化ケイ素層のエッチング速度が、凝縮を回避するためにプラズマエッチング用のヨウ素含有エッチング化合物を加熱しながらエッチングガスcCを使用して酸化ケイ素層SiOをエッチングするエッチング速度よりも高い;
・CIを使用する酸化ケイ素層のエッチング速度が、凝縮を回避するためにプラズマエッチング用のヨウ素含有エッチング化合物を加熱しながらエッチングガスCを使用して酸化ケイ素層SiOをエッチングするエッチング速度よりも高い;
・凝縮を回避するためにプラズマエッチング用のヨウ素含有エッチング化合物を加熱する;
・ケイ素含有膜に形成されたパターン化された構造が、約1:1~約200:1の間のアスペクト比を有する;
・ヨウ素含有エッチング化合物の目標流量を維持するために、プラズマエッチング用のヨウ素含有エッチング化合物を加熱する;
・ヨウ素含有エッチング化合物が、エッチングされるケイ素含有膜の底部に位置するランディング層からケイ素含有膜を選択的にエッチングする;
・ランディング層が、エッチングされる構造の底部に位置する埋め込み型ランディング層である;
・ランディング層がエッチング停止層である;
・ランディング層がシリコン層である;
・ランディング層が金属層である;
・ランディング層が3D NAND構造のタングステン金属ワールドライン及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Nb、Cr、Rh、Pd、Ir、V、Au、Ag又はそれらの組合せなどの別の金属である;
・ランディング層が、金属酸化物又は金属窒化物の層である;
・ランディング層が、AlO、WO、TiN、又はTaNの層である;
・金属層が、W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Moから選択される、又はAlO、WO、TiN、TaNから選択されるエッチング停止層である;
・ランディング層が、AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SrN、又はそれらの組合せから選択される金属酸化物層又は金属窒化物層である;
・酸素含有ガスを、ヨウ素含有エッチング化合物に添加する;
・酸素含有ガスが、O、O、CO、CO、NO、NO、NO、SO、COS、HO及びそれらの組合せからなる群から選択される;
・酸素含有ガスがOである;
・チャンバーに導入する前にヨウ素含有エッチング化合物と酸素含有ガスとを混合して混合物を生成する;
・ヨウ素含有エッチング化合物を酸素含有ガスとは別に導入する;
・酸素含有ガスを連続的に導入し、ヨウ素含有エッチング化合物を導入する;
・酸素含有ガスが、ヨウ素含有エッチング化合物と酸素含有ガスの総体積の約0.01%v/v~約99.9%v/vを占める;
・酸素含有ガスが、ヨウ素含有エッチング化合物と酸素含有ガスの総体積の約0.01%v/v~約10%v/vを占める;
・チャンバーに導入する前に、ヨウ素含有エッチング化合物と不活性ガスを混合して混合物を生成する;
・ヨウ素含有エッチング化合物を不活性ガスとは別に導入する;
・不活性ガスを連続的に導入し、ヨウ素含有エッチング化合物をパルスで導入する;
・不活性ガスが、ヨウ素含有エッチング化合物の蒸気と不活性ガスの総体積の約0.01%v/v~約99.9%v/vを占める;
・不活性ガスが、ヨウ素含有エッチング化合物の蒸気と不活性ガスの総体積の約90%v/v~約99%v/vを占める;
・基板がSiウエハである;
・基板が結晶シリコン層である;
・パターン化された構造を形成する;
・パターン化された構造が3D NANDアパーチャーである;
・パターン化された構造がコンタクトホールである;
・パターン化された構造が3D NANDコンタクトホールである;
・パターン化された構造がDRAMコンタクトである;
・パターン化された構造がチャネルホールである;
・パターン化された構造が3D NANDチャネルホールである;
・パターン化された構造が3D NANDスリットコンタクトである;
・アパーチャーが階段状コンタクトである;
・アパーチャーが自己整合コンタクトである;
・アパーチャーが自己整合ビアである;
・アパーチャーがスーパービアである;
・追加のエッチングガスをヨウ素含有エッチング化合物に導入することをさらに含む;
・追加のエッチングガスが、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(シス-C)又はそれらの組合せからなる群からなる群から選択される;
・ヨウ素含有エッチング化合物を追加のエッチングガスとは別に導入する;
・約0.01%v/v~約99.99%v/vの追加のエッチングガスをヨウ素含有エッチング化合物に添加する;
・RF電力を印加してプラズマを活性化する;
・約25W~約100,000Wの範囲のRF電力によってプラズマを活性化する;
・エッチング圧力が約1mTorr~約10Torrの範囲である;
・エッチング圧力が30mTorrである;
・約0.1sccm~約1slmの範囲の流量でヨウ素含有エッチング化合物を導入する;
・基板を約-196℃~約500℃の範囲の温度に維持する;
・基板を約-120℃~約300℃の範囲の温度に維持する;
・基板を約-100℃~約50℃の範囲の温度に維持する;
・基板を約-10℃~約40℃の範囲の温度に維持する;そして
・四重極質量分析装置、光学発光分光器、FTIR、又は他のラジカル/イオン測定ツールによって、プラズマ下でヨウ素含有エッチング化合物を測定する。
また、基板にパターン化された構造を形成する一方でパターン化されたマスク層を補強する、強化する、及びその損傷を最小限にする方法も開示され、この方法は:
基板上に堆積されたケイ素含有膜と、ケイ素含有層上に堆積されたパターン化されたマスク層とを有する基板が入っている反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入すること;
プラズマを活性することによって形成された活性化されたヨウ素含有エッチング化合物を使用して、パターン化されたマスク層からケイ素含有膜をエッチングし、パターン化された構造を形成すること;
を含み、
活性化されたヨウ素含有エッチング化合物は、パターン化されたハードマスク層に注入されるヨウ素イオンを生成し、それによってパターン化されたマスク層が強化される。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・ヨウ素含有エッチング化合物がフッ素含有炭化水素化合物である;
・ヨウ素含有エッチング化合物がフルオロカーボン化合物である;
・ヨウ素含有エッチング化合物が有機フッ素化合物である;
・ヨウ素含有エッチング化合物が炭化水素化合物である;
・活性化されたヨウ素含有エッチング化合物が、パターン化されたマスク層を強化するヨウ素イオンを生成する;
・ヨウ素含有エッチング化合物が、CI、C11I、C13I、C15I、C17I、C19I、C1021I、又はそれらの異性体である;そして
・ヨウ素含有エッチング化合物が、CI又はその異性体である。
また、基板にパターン化された構造を形成するプロセスにおいて、パターンマスク層をヨウ素でドープすることによって、及び/又はパターンマスク層の表面をヨウ素で改質することによって、パターンマスク層のエッチング耐性を高める方法が開示され、この方法は:
ヨウ素含有エッチング化合物の蒸気を、上に配置されたケイ素含有膜と、ケイ素含有層上に配置されたパターン化されたマスク層とを有する基板が入っている反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入すること;
プラズマを活性化して、パターン化されたマスク層にヨウ素をドープすることができる活性化されたヨウ素含有エッチング化合物を生成し、それによってパターン化されたマスク層をヨウ素で改質してパターン化されたマスク層のエッチング耐性を高めること;及び
パターン化されたマスク層からケイ素含有膜をエッチングしてパターン化された構造を形成する一方で、活性化されたヨウ素含有エッチング化合物からヨウ素イオンをパターン化されたマスク層に注入すること;
を含む。開示された方法は、次の態様の1つ又はそれ以上を含み得る:
・ヨウ素含有エッチング化合物がフッ素含有炭化水素化合物である;
・ヨウ素含有エッチング化合物がフルオロカーボン化合物である;
・ヨウ素含有エッチング化合物が炭化水素化合物である;
・ヨウ素含有エッチング化合物が有機フッ素化合物である;
・ヨウ素含有エッチング化合物が、CI、C11I、C13I、C15I、C17I、C19I、C1021I、又はそれらの異性体である;
・ヨウ素含有エッチング化合物が、CI又はその異性体である;
・基板からケイ素含有膜をエッチングしてパターン化された構造を形成する一方で、活性化されたヨウ素含有エッチング化合物からヨウ素イオンをパターン化されたマスク層の表面及び/又はバルクに注入する;
・基板からケイ素含有膜をエッチングしてパターン化された構造を形成する一方で、活性化されたヨウ素含有エッチング化合物からヨウ素イオンをパターン化されたマスク層の表面に注入する;
・基板からケイ素含有膜をエッチングしてパターン化された構造を形成する一方で、活性化されたヨウ素含有エッチング化合物からヨウ素イオンをパターン化されたマスク層のバルクに注入する。
表示法及び命名法
以下の詳細な説明及び請求の範囲では、一般に、当該技術において周知である多数の略語、記号及び用語が利用される。定義は典型的にそれぞれの頭字語によって提供されるが、便宜上、表1に、それらのそれぞれの定義と一緒に、使用された略語、記号及び用語の一覧を示す。
本明細書で使用される不定冠詞「a」又は「an」は、1つ又は複数を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「約」又は「ほぼ」又は「およそ」は、記載された値の±10%を意味する。
本明細書で使用される、文章中又は特許請求の範囲中の「室温」は、約20℃~約25℃を意味する。
「周囲温度」という用語は、約20℃~約25℃の環境温度を意味する。
「基板」という用語は、その上でプロセスが行われる1つ以上の材料を指す。基板は、その上でプロセスが行われる1つ以上の材料を有するウエハを指す場合がある。基板は、半導体、太陽光発電、フラットパネル、又はLCD-TFTデバイスの製造に使用される任意の適切なウエハであってよい。基板は、その前の製造ステップからその上に既に堆積された異なる材料の1つ以上の層も有し得る。例えば、ウエハは、シリコン層(例えば結晶性、非晶質、多孔性など)、ケイ素含有層(例えばSiO、SiN、SiON、SiC、SiCN、SiOCN、SiCOHなど)、金属含有層(例えば銅、コバルト、ルテニウム、タングステン、マンガン、白金、パラジウム、ニッケル、ルテニウム、金など)、又はこれらの組合せを含み得る。さらに、基板は平坦であっても、又はパターン化されていてもよい。基板は、有機物でパターン化されたフォトレジスト膜であってもよい。基板は、MEMS、3D NAND、MIM、DRAM、又はFeRamデバイス用途で誘電体材料として使用される酸化物の層(例えばZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、三元酸化物ベースの材料など)又は電極として使用される窒化物ベースの膜(例えばTaN、TiN、NbN)を含み得る。当業者は、本明細書で使用される「膜」又は「層」という用語が、表面上に配置されているか広がっている何らかの材料の厚さを指し、その表面はトレンチ又はラインであってよいことを認識するであろう。本明細書及び特許請求の範囲全体を通して、ウエハ及びその上の関連する層は、基板と呼ばれる。
「ウエハ」又は「パターン化されたウエハ」という用語は、基板上のケイ素含有膜のスタックと、パターンエッチングのために形成されたケイ素含有膜のスタック上のパターン化されたハードマスク層とを有するウエハを指す。
「パターンエッチング」又は「パターン化されたエッチング」という用語は、ケイ素含有膜のスタック上のパターン化されたマスク層などの非平坦構造をエッチングすることを指す。
本明細書で使用される場合、「エッチ」又は「エッチング」という用語は、プラズマを使用して、イオン衝撃、リモートプラズマ、又はエッチングガスと基板との間の化学気相反応によって材料を除去することを意味し、等方性エッチングプロセス及び/又は異方性エッチングプロセスを指す。等方性エッチングプロセスは、エッチング化合物と基板との間の化学反応を伴い、基板上の材料の一部が除去される。このタイプのエッチングプロセスには、ケミカルドライエッチング、気相ケミカルエッチング、サーマルドライエッチングなどが含まれる。等方性エッチングプロセスは、基板に横方向又は水平方向のエッチングプロファイルを生成する。等方性エッチングプロセスは、基板に予め形成されたアパーチャーの側壁にリセス又は水平方向のリセスを生成する。異方性エッチングプロセスには、イオン衝撃によって化学反応が垂直方向に加速され、その結果マスクされたフィーチャのエッジに沿って基板に対して直角に垂直な側壁が形成されるプラズマエッチングプロセス(すなわちドライエッチングプロセス)が含まれる(Manos and Flamm,Thermal etching an Introduction,Academic Press,Inc.1989 pp.12-13)。プラズマエッチングプロセスは、基板に垂直エッチングプロファイルを生成する。プラズマエッチングプロセスは、基板に、垂直ビア、アパーチャー、トレンチ、チャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、スリットエッチング、自己整合コンタクト、自己整合ビア、スーパービアなどを生成する。
「マスク」という用語は、エッチングに抵抗する層を指す。マスク層は、エッチングされる層の上に配置することができる。マスク層は、ハードマスク層も指す。
「エッチング停止」という用語は、下の層を保護する、エッチングされる層の下の層を意味する。
「デバイスチャネル」という用語は、実際のデバイスの一部である層を意味し、そしてそれに対するいずれのダメージもデバイス性能に影響するであろう。
「アスペクト比」という用語は、トレンチの幅(又はビアの直径)に対するトレンチ(又はビア)の高さの比率を意味する。
「選択性」という用語は、別の材料のエッチング速度に対する1つの材料のエッチング速度の比率を意味する。「選択性エッチング」又は「選択的エッチング」という用語は、別の材料よりも1つの材料をエッチングすることを意味するか、或いは換言すれば、2つの材料間のエッチング選択性が1:1より高いか、又はそれ未満であることを意味する。
「独立して」という用語は、R基の記載に関して使用される場合、対象のR基が、同一又は異なる下付き文字又は上付き文字を有する他のR基に対して独立して選択されるのみならず、同一R基のいずれかの追加の種に対しても独立して選択されることも意味するものとして理解されるべきである。例えば、Mが原子であり、xが2又は3である式MR (NR(4-x)において、2個又は3個のR基は、互いに、又はR若しくはRと同一であってもよいが、同一である必要はない。さらに、他に特記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書中、「膜」及び「層」という用語は、互換的に使用され得ることに留意されたい。膜は層に相当し得るか、又は層に関連し得ること、及び層が膜と呼ばれてもよいことが理解される。さらに、当業者は、「膜」又は「層」という用語が、本明細書で使用される場合、表面上に適用されたか、又は延展されたいくつかの材料の厚さを意味し、かつ表面が、ウエハ全体と同程度の大きいものからトレンチ又はライン程度の小さいものまでの範囲であり得ることを認識するであろう。
本明細書中、「エッチング化合物」及び「エッチングガス」という用語は互換的に使用されてもよいことに留意されたい。エッチング化合物がエッチングガスに相当するか、又は関連し得ること、及びエッチングガスがエッチング化合物を意味し得ることは理解される。
「ビア」、「アパーチャー」、「トレンチ」、及び「ホール」という用語は、互換的に使用される場合があり、一般的に層間絶縁体の開口部を意味する。
本明細書で使用される「NAND」という略語は、「Negated AND」又は「Not AND」ゲートを指し、「2D」という略語は、平坦基板上の2次元ゲート構造を指し、「3D」という略語は、ゲート構造が垂直方向にスタックされた3次元又は垂直ゲート構造を指す。
本明細書中、元素周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味し、Hは水素を意味し、Fはフッ素を意味する、など)。
Chemical Abstract Serviceによって割り当てられたユニークなCAS登録番号(すなわち、「CAS」)は、開示された分子をよりよく識別するのを助けるために提供される。
窒化ケイ素及び酸化ケイ素などのケイ素含有膜が、それらの適切な化学量論を示さずに明細書及び請求の範囲全体に列挙されることに留意されたい。ケイ素含有膜には、結晶質Si、ポリケイ素(p-Si若しくは多結晶質Si)又は非晶質ケイ素などの純粋なケイ素(Si)層;窒化ケイ素(Si)層;酸化ケイ素(Si)層;又はその混合物が含まれてよく、ここで、k、l、m及びnは、全てを含めて0.1~6の範囲である。好ましくは、窒化ケイ素は、k及びIがそれぞれ0.5~1.5の範囲であるSiである。より好ましくは、窒化ケイ素はSiである。好ましくは、酸化ケイ素は、nが0.5~1.5の範囲であり、かつmが1.5~3.5の範囲であるSiである。より好ましくは、酸化ケイ素はSiOである。本明細書中、以下の明細書中のSiN及びSiOは、それぞれSi及びSi含有層を表すのに使用される。ケイ素含有膜は、SiOCHを有する、Applied Materials,Inc.によるBlack Diamond II又はIII材料などの、有機ベース又は酸化ケイ素ベースの低誘電率誘電体材料などの酸化ケイ素ベースの誘電体材料であることも可能である。ケイ素含有膜は、a、b、c、d、eが0.1~6の範囲であるSiaObCcNdHeを含み得る。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeなどのドーパントも含み得る。
範囲は、本明細書において、約ある特定の値から、及び/又は約別の特定の値までとして表現される場合がある。そのような範囲が表現される場合、別の実施形態は、前記範囲内の全ての組合せとともに、ある特定の値から、及び/又は別の特定の値までのものであることが理解されるべきである。
本明細書における「一実施形態」又は「ある実施形態」への言及は、実施形態に関連して記載されている特定の特徴、構造、又は特性が、本発明の少なくとも1つの実施形態に含まれ得ることを意味する。本明細書の様々な場所における「一実施形態では」という語句の出現は、必ずしも全てが同じ実施形態を指すわけではなく、また別の又は代替の実施形態は、必ずしも他の実施形態と相互に排他的ではない。同じことが「実装」という用語にも当てはまる。
本発明の特性及び目的のさらなる理解のために、以下の詳細な説明は、添付の図面と関連して参照されるべきである。図面中、同様の要素は、同一又は類似の参照番号が与えられる。
3D NANDスタック中の模範的な層の断面図である。 3D NANDスタックのエッチングの間に側壁上に堆積したポリマーを示す3D NANDスタック中の模範的な層の断面図である。 3D NANDスタックの交互SiO/SiN層エッチングの間に生じた粒子を示す3D NANDスタック中の模範的な層の断面図である。 3D NANDスタック中の側壁上に暴露されたSiNの選択的エッチングを示す3D NANDスタック中の模範的な層の断面図である。 DRAMスタックの模範的な層の断面図である。 トランジスタ構造を作成するための典型的なトランジスタデバイス領域の周囲のSiO絶縁層上のフォトレジストパターンを示す模範的な層の断面図である。 SiO絶縁層のエッチング後の図3aの模範的な層の断面図である。 1-CI、O及びArによるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。 Iの種の濃度(Torr)に対する電子衝突イオン化エネルギー(eV)を示すグラフである。 1-CI、O、及びArによるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。 a-C基板上の1-CI深さ方向プロファイルである。 a-C(B)基板上の1-CI深さ方向プロファイルである。 a-C(W)基板上の1-CI深さ方向プロファイルである。 cCによるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。 によるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。
ケイ素含有膜中のチャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール高アスペクト比構造、3D NAND、スリットトレンチ、自己整合コンタクト、自己整合ビア、スーパービアなどの半導体構造のプラズマエッチングのための方法が開示される。開示された方法は、i)基板上のケイ素含有膜を含む反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入するステップと;ii)反応チャンバー中に不活性ガスを導入するステップと;iii)プラズマを活性化して、基板からケイ素含有膜をエッチングすることが可能である活性化されたヨウ素含有エッチング化合物を生成するステップとを含む。ここでの不活性ガスは、Ar、Kr、Xe、N、He又はNeであってよい。
以下の例において示されるように、開示されたヨウ素含有エッチング化合物のヨウ化物イオンは、低エネルギー(eV)(すなわち、<20eV)において化合物から解離する。結果として、出願人らは、開示されたエッチング化合物は、それらがより低いプラズマエネルギーにおいて実行可能であるため、下の基材へのダメージが少ない乾式プラズマエッチングプロセスにおいて使用され得ると考える。例えば、より低いプラズマエネルギーによって、エッチングサイトを包囲する多孔性低誘電率材料へのダメージが少ないため、開示されたヨウ素含有エッチング化合物は、ダメージが特に懸念される低誘電率エッチングプロセスに特に適切であり得る。低誘電率材料への低いダメージは、ヨウ素含有エッチング化合物によって与えられるUV光子及びFラジカルの発生が低減されることに起因し得る。開示されたヨウ素含有エッチング化合物は、臨界寸法の損失も防ぎ、そして低誘電率表面粗さを減少させ得る。加えて、2個のヨウ素原子を有する分子は、これらの利点を強化し得るが、ヨウ素原子の大きさのために揮発性が失われる。
ヨウ素(10.5eV)は、フッ素(17.4eV)並びに不活性ガス:Ar(15.8eV)、Xe(12.1eV)、及びKr(14eV)より低いイオン化閾値を有する。したがって、ヨウ素は、フッ素よりも容易にプラズマによってイオン化することができ、ヨウ素含有エッチング化合物は、プラズマ密度を増加させることができる。ヨウ素は、重い元素(質量127amu)であり、プラズマ中の不活性ガス(88amuのKr、131amuのXeなど)と同様の挙動も示すことができ、ウエハに向かって加速する。高アスペクト比構造のエッチングの間、イオンが深く浸透するほどイオンのエネルギーが弱くなるため、多くのイオンがトレンチの底部に到達することはできない。しかしながら、重いヨウ素イオンは、プラズマエッチングプロセス中に印加される電圧によってウエハに向かって加速されて深く浸透することができ、高アスペクト比の深いパターン化された構造をエッチングすることができる。フッ素のイオン化閾値は中性化学種としてそれを維持するために有用である。結果として、フッ素は、典型的に化学反応によるエッチングプロセスに関与する。ヨウ素はフッ素より低い化学反応性を示し、そしてプラズマエッチングプロセスの間にイオン化され、そして表面に衝撃を与える。またC-Iの結合エネルギーはC-Fの結合エネルギーより低く、それによって、C-F結合と比較して、C-I結合は、プラズマ中でより容易に破壊される。したがって、ヨウ素イオンは、a-Cマスク層に容易にドープされ得る。ヨウ素は重い元素であるため、軽い元素よりもマスク層の中に注入しやすく、深く浸透しやすい。加えて、C-I結合が弱く、ヨウ素のイオン化閾値が低いという事実のため、ヨウ素含有エッチング化合物の大気中における寿命は短いか無視できる程度であり、そのためこれはパーフルオロカーボン化合物によって引き起こされる地球温暖化係数を低減するための優れた候補である。
以下の比較例において言及されるように、ヨウ化物イオンは、低いeV(すなわち<20eV)において、開示されたエッチング化合物から解離する。しかしながら、表面及び/又は本体/バルクなどのマスクフィーチャへヨウ化物イオンが注入される可能性はある。この注入は、マスクフィーチャの強化、損傷の最小化、エッチング耐性の向上、及びエッチングプロセス中の形状の維持に役立ち得る。以下の実施例で示されるように、開示されたヨウ素含有エッチング化合物を使用した後、パターンマスクの組成が変更される。出願人らは、ヨウ素イオンが表面を改質し、有機マスク層(非晶質炭素マスクなど)との架橋を増加させることによってパターンマスク層のバルクに組み込まれると考えている。ヨウ素イオンのドーピング、並びに炭素、ヨウ素、水素及びフッ素の原子間、好ましくは炭素とヨウ素と間の架橋のため、パターンマスク層の密度が増加し、それによりパターンマスク層がより強くなる。以下の実施例では、ヨウ素イオンによる強化効果及びエッチング耐性の改善は、ヨウ素含有エッチング化合物でエッチングした場合にcCやCなどの従来のエッチングガスでエッチングした場合よりもパターンマスク層のエッチング速度が低くなることを示すことによって証明されている。
開示されたヨウ素含有エッチング化合物は、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有する。x=0の場合、開示されたヨウ素含有エッチング化合物は、式C(式中、4≦n≦10であり、0≦y≦21であり、1≦z≦4であり、y+z≦2n+2である)を有し、水素を含まなくてよい。n=4の場合、開示されたヨウ素含有エッチング化合物は、式C(式中、1≦z≦4であり、y≦10-zである)を有する。Hを含まない例示的なCヨウ素含有エッチング化合物としては、CI、C11I、C13I、C15I、C17I、C19I、C1021I、CI、CI、C11I、C13I、C15I、C17I、C1019I、CI、CI、CI、C11I、C13I、C17I、C1017I、C、C10、C12、C14、C16、C18、C1020、C、C、C10、C12、C14、C16、C1018、C、C、C、C10、C12、C14、C1016、C、C、C11、C13、C15、C17、C1019、C、C、C、C10、C12、C14、C1016、C、C、C、C、C11、C13、C1015、C、C、C10、C12、C14、C16、C1018、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、及びそれらの異性体が挙げられる。
一般式C2n+1I(式中、4≦n≦10である)を有する、Hを含まない例示的な開示されたヨウ素含有エッチング化合物が表2に列挙されている。これらの分子は商業的に入手可能であるか、又は当該技術で既知の方法によって合成され得る。それらの構造式、CAS番号、及び沸点が表2に含まれる。開示された一般式:C2n+1I(式中、4≦n≦10である)を有する、Hを含まない開示されたヨウ素含有エッチング化合物にはそれらの異性体も含まれる。
開示されたヨウ素含有エッチング化合物は、酸化ケイ素(SiO)、窒化ケイ素(SiN)、結晶Si、ポリシリコン(p-Si又は多結晶Si)、非晶質シリコンなどの純シリコン(Si)、低誘電率SiCOH、SiOCN、SiC、SiON、Si(式中、a>0であり;b、c、d及びe≧0である)又はそれらの組合せの層を含むケイ素含有膜のエッチングに適している。ケイ素含有膜は、交互のSiOとSiN(ONON)の層又は交互のSiOとp-Si(OPOP)の層を含んでいてもよい。ケイ素含有膜は、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeなどのドーパントも含んでいてもよい。
開示されたヨウ素含有エッチング化合物がHを含む場合、マスク層に対する高い選択性を維持しながらSiNのエッチング速度を高めることができるが、これに限定されない。x=1の場合に1つのHを有する開示されたヨウ素含有エッチング化合物は、式CHF(式中、4≦n≦10、0≦y≦20、1≦z≦4、y+z≦2n+1である)を有する。例示的な化合物としては、CHFI、CHF10I、CHF12I、CHF14I、CHF16I、CHF18I、C10HF20I、CHFI、CHFI、CHF10I、CHF12I、CHF14I、CHF16I、C10HF18I、CHFI、CHFI、CHFI、CHF10I、CHF12I、CHF14I、C10HF16I,CHF、CHF、CHF11、CHF13、CHF15、CHF17、C10HF19、CHF、CHF、CHF、CHF11、CHF13、CHF15、C10HF17、CHF、CHF、CHF、CHF、CHF11、CHF13、C10HF15、CHF、CHF、CHF10、CHF12、CHF14、CHF16、C10HF18、CHF、CHF、CHF、CHF10、CHF12、CHF14、C10HF16、CHF、CHF、CHF、CHF11、CHF13、CHF15、C10HF17、CHF、CHF、CHF、CHF、CHF11、CHF13、C10HF15、CHFI、CHF、CHF、CHF、CHF、CHF11、C10HF13及びそれらの異性体が挙げられる。
x=2の場合に2つの水素を有する開示されたヨウ素含有エッチング化合物は、式C(式中、4≦n≦10、0≦y≦19、1≦z≦4、y+z≦2nである)を有する。例示的な化合物としては、CI、CI、C11I、C13I、C15I、C17I、C1019I、CI、CI、CI、C11I、C13I、C15I、C1017I、C、C、C10、C12、C14、C16、C1018、C、C、C、C10、C12、C14、C1016、C、C、C、C11、C13、C15、C1017、C、C、C、C、C11、C13、C1015、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、及びそれらの異性体が挙げられる。
x=3の場合に3つの水素を有する開示されたヨウ素含有エッチング化合物は、式C(式中、4≦n≦10、0≦y≦18、1≦z≦4、y+z≦2n-1である)を有する。例示的な化合物としては、CI、CI、C10I、C12I、C14I、C16I、C1018I、CI、CI、CI、C10I、C12I、C14I、C1016I、C、C、C、C11、C13、C15、C1017、C、C、C、C、C11、C13、C1015、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、C、C、C、C、C11、C13、C1015、C、C、C、C、C、C11、C1013及びそれらの異性体が挙げられる。
x=4の場合に4つの水素を有する開示されたヨウ素含有エッチング化合物は、式C(式中、4≦n≦10、0≦y≦17、1≦z≦4、y+z≦2n-2である)を有する。例示的な化合物としては、CI、CI、CI、C11I、C13I、C15I、C1017I、CI、CI、CI、CI、C11I、C13I、C1015I、C、C、C、C10、C12、C14、C1016、C、C、C、C、C10、C12、C1014、C、C、C、C、C11、C13、C1015、CFI、C、C、C、C、C11、C1013、C、C、C、C、C10、C12、C1014、C、C、C、C、C、C10、C1012及びそれらの異性体が挙げられる。
出願人らは、4つ以上の炭素原子を有する分子が、4つ未満の炭素の分子よりも、エッチングプロセス中に、例えば真っ直ぐなプロファイルであること、湾曲がないこと、先細りがないこと、ねじれがないこと、不完全なエッチングがないこと、エッチングされた構造の上部から底部までの限界寸法の変動がないことなど、より良好なエッチング速度及び側壁保護を提供できると考えている。出願人らは、4つ以上の炭素原子を有する分子が、4つ未満の炭素の分子よりも、湾曲、先細り、ねじれ、及び不完全なエッチング、又はエッチングされた構造の上部から底部までの限界寸法の変動がない、より真っ直ぐなプロファイルを提供することができるとも考えている。出願人らは4個以上の炭素の分子がより厚いパッシベーション層を生成すると考えているため、側壁保護のためにはそれらが好ましい。
開示されたヨウ素含有エッチング化合物は、マスク層、フォトレジスト、エッチング停止層及びデバイスチャネル材料に対して高い選択性を提供し得、かつDRAM及び3D NAND構造などの1:1~200:1の範囲のアスペクト比を有するものなどの高アスペクト比構造(HAR)におけるプロファイル歪みを提供し得ない。代わりに、開示されたヨウ素含有エッチング化合物は、コンタクトエッチング用途において1:1~200:1の範囲のアスペクト比を有するものなどのマスク層又はケイ素含有膜に対して高い選択性を提供し得る。
開示されたヨウ素含有エッチング化合物は、エッチングの広範なプロセス条件に関する無数の選択性を提供し得る。本明細書中、選択性とは、2つの異なる層のエッチング速度比を示す。例えば、SiO層対a-C層に関する選択性は、a-C層のエッチング速度で割られたSiOのエッチング速度である。開示されたヨウ素含有エッチング化合物は、ケイ素含有膜とマスク材料との間の改善された選択性、低減されたチャネル領域へのダメージ、改善された臨界寸法均一性、及び低減された湾曲、ねじれ、先細り、ノッチ、アンダーカットなどのプロファイル歪みを提供することができ、またエッチングされた構造の上部から底部までの同じ限界寸法及びパターン高アスペクト比構造でのアーキングを維持することができる。開示されたヨウ素含有エッチング化合物は、p-Si、SiO、及び/又はSiNの交互の層を通してエッチングすることもでき、垂直エッチングプロファイルが得られる(すなわち、交互の層の間に2:1~1:2の範囲の選択性を示す)。開示されたヨウ素含有エッチング化合物のプラズマは、SiO層対SiN層に関して約1:2~約2:1の選択性を有して交互のSiOとSiN(ONON)の層をエッチングする。好ましくは、開示されたヨウ素含有エッチング化合物のプラズマは、SiO層対SiN層に関して約1:1の選択性を有して交互のSiOとSiN(ONON)の層をエッチングする。
開示されたヨウ素含有エッチング化合物は、95%v/vより高い純度で、好ましくは、99.99%v/vより高い純度で、より好ましくは、99.999%v/vより高い純度で提供される。開示されたヨウ素含有エッチング化合物は、5体積%未満の微量ガス不純物を含有し、微量ガス不純物中には、体積で150ppm未満のN及び/又はHO及び/又はCOなどの不純物ガスが含まれる。好ましくは、プラズマエッチングガス中の含水量は、重量で20ppm未満である。精製された生成物は、蒸留、及び/又は4Åモレキュラーシーブなどの適切な吸着剤を通してガス又は液体を通過させることによって製造され得る。
開示されたヨウ素含有エッチング化合物は、10%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、さらにより好ましくは、0.01%v/v未満のいずれかのその異性体を含有し、これは、異性体を除去するためのガス又は液体の蒸留によって精製され得、かつより良好なプロセス繰返し性を提供し得る。
代わりに、開示されたヨウ素含有エッチング化合物は、特に異性体混合物が改善されたプロセスパラメーターを提供する場合、又は標的異性体の単離が非常に困難であるか、若しくは高価である場合、0.01%v/v~99.99%v/vのその異性体を含有し得る。例えば、開示されたヨウ素含有エッチング化合物は、約50%v/v~約75%v/vの1-ヨードノナフルオロブタンと、約25%v/v~約50%v/vの2-ヨードノナフルオロブタンと、約25%v/v~約50%v/vのヨードノナフルオロ-t-ブタンとを含み得る。異性体の混合物は、反応チャンバーへの2つ以上のガスラインの必要性も低減させ得る。
開示されたヨウ素含有エッチング化合物のいくつかは、室温及び気圧においてガス状である。非ガス状(すなわち、液体又は固体)化合物に関して、それらのガス形態は、直接蒸発などの従来的な蒸発ステップによって化合物を蒸発させることによって、又は不活性ガス(N、Ar、Heなど)によるバブリングによって生成され得る。非ガス状化合物は、それを反応器中に導入する前にそれを蒸発させる蒸発器に液体形態で提供され得る。
開示されたヨウ素含有エッチング化合物は、ケイ素含有膜中のチャネルホール、ゲートトレンチ、階段状コンタクト、スリット、コンデンサーホール、コンタクトホール、自己整合コンタクト、自己整合ビア、スーパービアなどの半導体構造のプラズマエッチングに適切である。開示されたヨウ素含有エッチング化合物が、高アスペクト比構造の良好なプロファイルとともにマスク上にダメージを誘発しないか、又はわずかのみ誘発するため、開示されたヨウ素含有エッチング化合物は、現在入手可能なマスク材料と適合性があるのみならず、次世代のマスク材料とも適合性がある。換言すれば、開示されたヨウ素含有エッチング化合物は、最小限の歪曲、パターン崩壊又は粗さを有するか、又は有さない垂直エッチングパターンを作成し得る。これらの特性を達成するために、開示されたヨウ素含有エッチング化合物は、エッチングプロセスの間に酸素及びフッ素ラジカルの直接的な影響を減少させることの補助とするため、エッチングの間にエッチング抵抗ポリマー層を堆積してもよい。開示されたヨウ素含有エッチング化合物は、エッチングの間のp-Si又は結晶質Siチャネル構造に対するダメージを減少させ得る。
開示されたヨウ素含有エッチング化合物は、ほとんどの用途でエッチングされる構造の底部に位置する金属層である埋め込み型ランディング層又は材料からケイ素含有層を選択的にエッチングする。開示されたヨウ素含有エッチング化合物は、金属ランディング層をエッチングしない。埋め込み型ランディング層は、エッチング停止層又は拡散バリア層であってもよい。金属ランディング層の材料は、3D NAND構造のタングステン金属ワールドライン、及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag若しくはそれらの組合せなどの別の金属、及び/又は金属若しくは金属酸化物若しくは窒化物層などのエッチング停止層(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN又はそれらの組合せなど)であってよい。開示されたヨウ素含有エッチング化合物は、コンタクトホール、階段状及びスリット状の底部の材料(3D NAND構造のタングステン金属ワールドライン及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag若しくはそれらの組合せなどの別の金属など)、及び/又は金属若しくは金属酸化物若しくは窒化物層などのエッチング停止層(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN、又はそれらの組合せなど)にほとんど又は全くダメージを誘発しない。当業者は、Ti/TiN、Ti/TiN/Ti、TiZrN、Ta/TaN、TaN/Cu/TaN、TaN/Ru/TaNなどであるバリア層が前述した金属及び金属酸化物及び窒化物の組合せから構成することもできることを認識するであろう。バリアには、シリサイド(TiSiN、TaSiN、TiSi、MnSiOなど)、リン化物(CuWP、NiMoP、NiPなど)、炭化物(TaC、TaCN、WCNなど)、ホウ化物(NiMoB、NiBなど)又はそれらの組合せも含まれ得る。好ましくは、開示されたヨウ素含有エッチング化合物は、反応器/チャンバー中への送達のため、エッチングプロセスの間、適切に揮発性及び安定性である。
材料適合性試験は、いずれかの開示されたヨウ素含有エッチング化合物がチャンバー材料と反応して、そして短期又は長期使用によってチャンバーの性能を低下させるかどうかを決定するために重要である。チャンバー、バルブなどの一部に関連する重要な材料としては、ステンレス鋼、アルミニウム、ニッケル、PCTFE、PVDF、PTFE、PFA、PP、kalrez、viton並びに他の金属及びポリマーが含まれる。時には、これらの材料は、それらの低下を強化し得る、高温、例えば、20℃より高い温度、及び高圧、例えば、1atmより高い圧力に暴露される。測定方法には、目視検査、重量測定、走査型電子顕微鏡法(SEM)におけるナノメートルスケールでの変化の測定、引張強度、硬度などが含まれ得る。
開示されたヨウ素含有エッチング化合物は、基材上にケイ素含有膜をプラズマエッチングするために使用され得る。開示されたプラズマエッチング法は、NAND又は3D NANDゲートなどの半導体デバイス、或いはフィン型電界効果トランジスタ(fin-shaped field-effect transistor)(FinFET)、ゲートオールアラウンド(GAA)FET、ナノワイヤFET、ナノシートFET、フォークシートFET、相補型FET(CFET)、バルク相補型金属酸化物半導体(バルクCMOS)、MOSFET、完全空乏シリコンオンインシュレータ(FD-SOI)構造などのフラッシュ又はDRAMメモリ又はトランジスタの製造において有用であり得る。開示されたヨウ素含有エッチング化合物は、異なるフロントエンド(front end of the line)(FEOL)及びバックエンド(back end of the line)(BEOL)エッチング用途などの他の領域の用途において有用であり得る。さらに、開示されたヨウ素含有エッチング化合物は、基材上のロジックにメモリを相互連結させるため、3D Si貫通電極(through silicon via)(TSV)エッチング用途においてSiをエッチングするために、及びMEMS用途において使用され得る。
プラズマエッチング法は、その中に配置された基材を有する反応チャンバーを提供することを含む。反応チャンバーは、限定されないが、反応性イオンエッチング(RIE)、単一又は複数周波数RF源による容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、又はマイクロ波プラズマ反応器、或いは選択的にケイ素含有膜の一部を除去することが可能であるか、又は活性種を生成することが可能である他の種類のエッチングシステムなどのその中でエッチング法が実行されるデバイス中のいずれかのエンクロージャ又はチャンバーであり得る。当業者は、異なるプラズマ反応チャンバー設計によって異なる電子温度制御が提供されることを認識するであろう。適切な商業的に入手可能なプラズマ反応チャンバーとしては、限定されないが、eMAX(商標)の商標で販売されるApplied Materials磁気強化反応性イオンエッチャー、又は2300(登録商標)Flex(商標)の商標名で販売されるLam Research Dual CCP反応性イオンエッチャー誘電体エッチング製品系統が含まれる。それらの中のRF電力は、プラズマ特性を制御し、それによって、エッチング性能(選択性及びダメージ)をさらに改善するために断続的であってもよい。
代わりに、プラズマ処理された反応物は、反応チャンバーの外部で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生機は、反応チャンバー中への通過の前に反応物を処理するために使用され得る。2.45GHz、7kWプラズマ電力及び約0.5Torr~約10Torrの範囲の圧力で作動させると、反応物Oは2つのO・ラジカルに分解し得る。好ましくは、リモートプラズマは、約1kW~約10kW、より好ましくは、約2.5kW~約7.5kWの範囲の電力で生成され得る。
反応チャンバーは、1つ又は1つより多くの基材を含有し得る。例えば、反応チャンバーは、25.4mm~450mmの直径を有する1~200のケイ素ウエハを含有し得る。基材は、半導体、光起電、フラットパネル又はLCD-TFTデバイス製造において使用されるいずれかの適切な基材であってよい。適切な基材の例としては、ケイ素、シリカ、ガラス、Ge、SiGe、GeSn、InGaAs、GaSb、InP又はGaAsウエハなどのウエハが含まれる。ウエハは、ケイ素含有膜又は層を含む、以前の製造ステップからのその上の複数の膜又は層を有するであろう。層はパターン化されていても、又はされていなくてもよい。適切な層の例としては、限定されないが、シリコン(非晶質シリコン、p-Si、結晶シリコン、これらのいずれもB、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGeによってさらにp-ドープ又はn-ドープされていてもよい)、シリカ、窒化ケイ素、酸化ケイ素、オキシ窒化ケイ素、Si(式中、a>0であり;b、c、d、e≧0である)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;ドーパントを含む又は含まない非晶質炭素、反射防止コーティング、フォトレジスト材料、金属酸化物、例えばAlO、TiO、HfO、ZrO、SnO、TaO、又は金属窒化物層、例えばAlN、ZrN、SnN、HfN、窒化チタン、窒化タンタルなど、又はそれらの組合せなどのマスク層材料;窒化シリコン、ポリシリコン、結晶シリコン、炭化ケイ素、SiON、SiCN又はそれらの組合せ、デバイスチャネル材料、例えば結晶シリコン、エピタキシャルシリコン、ドープされたシリコン、Si(式中、a>0であり;b、c、d、e≧0である)又はそれらの組合せなどのエッチング停止層材料;が挙げられる。酸化ケイ素層は、有機ベースか、又は酸化ケイ素ベースの低誘電率誘電体材料(例えば、多孔性SiCOH膜)などの誘電体材料を形成し得る。模範的な低誘電率誘電体材料は、商標名Black Diamond II又はIIIでApplied Materialsによって販売される。さらに、タングステン又は貴金属(例えば、白金、パラジウム、ロジウム又は金)を含む層が使用されてもよい。さらに、ケイ素含有膜の例は、Si(式中、a>0;b、c、d、e≧0)であり得る。明細書及び請求の範囲全体で、ウエハ及びそのいずれかの関連層は基材と記載される。
以下は、開示されたヨウ素含有エッチング化合物がエッチングするために適用され得る基材の模範的な実施形態である。
一実施形態において、図1aに示されるように、基材100は、複数の層のスタックを含み得る。図1aは、3D NANDゲートを作成するための3D NANDスタック中の模範的な層の断面図である。図1aにおいて、7つの交互SiO/SiN(すなわち、104a/104b)層104のスタックがケイ素ウエハ102上に位置する(すなわち、ONON又はTCAT技術)。いくつかの用途では、ウエハ102は、3D NAND構造のタングステン金属ワールドライン及び/又はW、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag若しくはそれらの組合せなどの別の金属などの埋め込み型ランディング層若しくは材料、並びに/又は金属若しくは金属酸化物若しくは窒化物層などのエッチング停止層若しくは拡散バリア層(AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN又はそれらの組合せなど)であってよい。当業者は、Ti/TiN、Ti/TiN/Ti、TiZrN、Ta/TaN、TaN/Cu/TaN、TaN/Ru/TaNなどであるバリア層が前述した金属及び金属酸化物及び窒化物の組合せから構成することもできることを認識するであろう。バリアには、シリサイド(TiSiN、TaSiN、TiSi、MnSiOなど)、リン化物(CuWP、NiMoP、NiPなど)、炭化物(TaC、TaCN、WCNなど)、ホウ化物(NiMoB、NiBなど)又はそれらの組合せも含まれ得る。当業者は、何らかの技術によってSiN層104bがp-Si層によって置き換えられること(例えばSiO/p-Si又はp-BICS技術)を認識するであろう。ハードマスク層106は、7つのSiO/SiN層104の上部に位置する。ハードマスク層106は、ドーパントあり又はなしの非晶質炭素(a-C)ハードマスク層であってよい。ハードマスク層106は、CVD、PECVD、ALD、PEALD又はスピンオン堆積(SOD)非晶質炭素又はドープされた非晶質炭素、ケイ素含有スピンオンマスク、又は炭素含有スピンオンマスクの層であってよい。ハードマスク層106は、SiO/SiN層エッチング中のエッチング耐性を高めるために、C及びHのみならず、ホウ素、窒素、硫黄、塩素、フッ素、又は金属(Al、Zr、Ti、W、Y)などの他の元素も含んでいてもよい。この場合、ハードマスク層106は、例えば、ホウ素がドープされたa-C層、タングステンがドープされたa-C層などのドープされたa-C層である。反射防止コーティング層108は、ハードマスク層106の上部に位置する。パターン化されたフォトレジスト層110は、反射防止コーティング層108の上部に位置する。本明細書中、フォトレジスト層110中のパターンをハードマスク層106に移して、パターニングされたハードマスク層を形成するために、反射防止コーティング層108とハードマスク層106との間に(示されない)SiON層が存在してもよい。当業者は、図1a中の基材100中の層のスタックが、模範的な目的のためのみ提供され、かつ開示されたヨウ素含有エッチング化合物が他の種類の層のスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、基材100のスタック中の交互SiO/SiN又はSiO/p-Si層104の数が変動し得ること(すなわち、記載された7つより多いか、又は7つより少ないSiO/SiN(104a/104b)層を含み得ること)を認識するであろう。
図1bは、エッチングにより側壁上に堆積したポリマーを示す、図1aの3D NANDスタック中の模範的な層の断面図である。開示されたヨウ素含有エッチング化合物は、ケイ素含有膜104の異方性エッチング、及び図1bに示されるようにエッチングされる構造の側壁上でのI含有ポリマーパッシベーション層212の堆積のために適切であるプラズマプロセスの間にフラグメントを生じ得る。図1b及び図1aの間の差異は、図1bにおいては、開示されたヨウ素含有エッチング化合物を使用するプラズマエッチングによって基材100中にビア214が形成され、それによって、ビア214の側壁上にポリマーパッシベーション層212も堆積されるということである。また、ポリマーパッシベーション層212は、ビア214の底部において、より平滑な側壁、より少ない歪曲及びより少ない変形を提供する。しかしながら、ポリマーパッシベーション層212は、当該技術において既知の乾式又は湿式エッチング化学薬品によって容易に除去又はクリーニングされ得る。
図1cは、3D NANDスタック中の交互SiO/SiN層エッチングの間に発生した粒子316を示す、図1aの3D NANDスタック中の模範的な層の断面図である。図1cに示すように、交互SiO/SiN(すなわち、104a/104b)層104の側壁上に生じた粒子316は、開示されたヨウ素含有エッチング化合物を使用することによって最小化され得る。図1c及び図1bの間の差異は、図1cにおいては、交互SiO/SiN曝露側面が、プラズマエッチングの間に発生した粒子316を有するということである。出願人らは、開示されたヨウ素含有エッチング化合物が図1cに示される粒子316を発生させるとは考えない。
図1dは、異方性エッチングプロセス後に3D NANDスタック中の側壁上で暴露されたSiN層104bの選択的等方性エッチングを示す、図1aの3D NANDスタック中の模範的な層の断面図である。スタック100中のSiN曝露側面は、SiO層104a中のSi-O結合よりもSiN層104b中のSi-N結合を選択的に破壊し、ビア214中のSiO/SiN層104中のスタック上に選択的側壁SiNエッチング418を形成するように、開示されたヨウ素含有エッチング化合物を使用することによって、図1dに示されるように選択的にエッチングされ得る。図1d及び図1bの間の差異は、図1dにおいて、交互SiO/SiN側壁上に暴露されたSiNが、開示されたヨウ素含有エッチング化合物によって選択的にエッチングされて、選択的側壁SiNエッチング418が形成されるということである。典型的に、選択的側壁SiNエッチング418は、リン酸との混合物を使用する湿式エッチングによって実行される。湿式エッチングは基材を異なる湿式エッチング装置に移動させることを必要とするため、湿式エッチングプロセスを乾式プラズマエッチングプロセスで置き換えることによって、半導体デバイス製作プロセスの経済的側面を非常に改善することが知られている。開示された方法に関して、図1dの選択的側壁SiNエッチングを含む全てのエッチングは、一体型エッチング装置において実行され得、このことは、半導体製作のコストを減少し得る。
別の実施形態において、図2に示されるように、基材100は、その上に複数の層のスタックを含み得る。図2は、DRAMメモリを作成するためのDRAMスタック中の模範的な層の断面図である。図2において、4層のスタックがケイ素ウエハ102上に位置する。ハードマスク層106は、大SiO層104aの上部に位置する。反射防止コーティング層108は、ハードマスク層106の上部に位置する。パターンフォトレジスト層110は、反射防止コーティング108の上部に位置する。フォトレジスト層110中のパターンをハードマスク層106に移すために、反射防止コーティング層108とハードマスク層106との間に(示されない)SiON層が存在してもよい。当業者は、図2中の層のスタックが、模範的な目的のためのみ提供され、かつ開示されたヨウ素含有エッチング化合物が他の層のスタック、例えば、ハードマスク層106がTiN層によって置き換えられたスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、スタック中の層の数が変動し得ること(すなわち、記載された層より多いか、又は少ない層を含み得ること)を認識するであろう。
図3aは、トランジスタ構造を作成するための典型的なトランジスタデバイス領域を包囲するSiO絶縁層の上のフォトレジストパターンを示す、模範的な層の断面図である。基材600は、図3aに示されるように、ケイ素ウエハ602上に担持されたトランジスタゲート電極領域を包囲する4層のスタックを含み得る。図3aに示されるトランジスタ領域には、ソース及びドレインの役割を果たす2つのドープされたケイ素領域606が含まれる。トランジスタゲート誘電体614は、ゲート電極616の下に存在する。トランジスタ全体、すなわち、トランジスタゲート誘電体614及びゲート電極616は、後にコンタクトエッチングの間にエッチング停止層として作用し得る、SiN薄層608によって包囲される。それぞれのトランジスタデバイス領域616/606は、電気的干渉を最小化するため、ケイ素ウエハ602中でSiO単離領域604によって分離される。当業者は、層602がシリコンオンインシュレータ(Silicon on Insulator)(SOI)ウエハの酸化ケイ素層の上部に位置し得ることを認識するであろう。別のSiO層610はトランジスタ上に堆積されて、そしてトランジスタデバイス領域606へのいずれの金属接触も絶縁するために使用される。フォトレジストマスク612は、SiO層610をパターン化するために使用される。エッチングは、プラズマ環境において開示されたヨウ素含有エッチング化合物を使用して実行される。図3bに示されるように、フォトレジストマスク612はSiO層610をエッチングするためのテンプレートとして機能し、そしてエッチングはSiN層608において停止する。
図3bは、SiO絶縁層のエッチング後の図3aの模範的な層の断面図である。図3b及び図3aの間の差異は、図3bにおいて、開示されたヨウ素含有エッチング化合物によるエッチングによって、SiO層610中にビア718が形成されるということである。SiO層610は、マスク層としてのフォトレジスト層612を用いてエッチングされ得る。マスク層は、TiN、a-Cなどのいずれかの適切なフォトレジストマスク材料であり得る。エッチングは、下のSiN層608で停止され得る。
開示されたヨウ素含有エッチング化合物は、異なるプラズマ条件及び異なる混合物を用いてSiN層608をエッチングするために使用されてもよい。当業者は、図3a及び図3bの層のスタック及び幾何構造が、模範的な目的のためのみ提供され、かつ開示されたヨウ素含有エッチング化合物が他の種類の層のスタックをエッチングするために使用されてもよいことを認識するであろう。さらに、当業者は、スタック中の層の数が変動し得ること(すなわち、記載された4層より多いか、又は少ない層を含み得ること)を認識するであろう。
開示されたヨウ素含有エッチング化合物の蒸気は、基材及びケイ素含有膜を含有する反応チャンバー中に導入される。蒸気は、約0.1sccm~約1slmの範囲のフロー速度においてチャンバーに導入され得る。例えば、200mmのウエハ径に関して、蒸気は約5sccm~約50sccmの範囲のフロー速度においてチャンバーに導入され得る。代わりに、450mmのウエハ径に関して、蒸気は約25sccm~約250sccmの範囲のフロー速度においてチャンバーに導入され得る。当業者は、フロー速度がツールによって変動し得ることを認識するであろう。
開示されたヨウ素含有エッチング化合物は、そのままの形態で、又はN、Ar、Kr、Ne、He、Xeなどの不活性ガス又は溶媒とのブレンドのいずれかで供給され得る。開示されたヨウ素含有エッチング化合物は、ブレンド中、様々な濃度で存在し得る。液体ヨウ素含有エッチング化合物に関して、ヨウ素含有エッチング化合物の蒸気形態は、そのままの、又はブレンドされたヨウ素含有エッチング化合物溶液を、直接蒸留などの従来の蒸発ステップによって蒸発させることによって、又はバブリングによって生成され得る。そのままの、又はブレンドされたヨウ素含有エッチング化合物は、それを反応器中に導入する前にそれを蒸発させる蒸発器へと液体状態で供給され得る。
代わりに、そのままの、又はブレンドされたヨウ素含有エッチング化合物は、開示されたヨウ素含有エッチング化合物を含有する容器中にキャリアガスを通過させることによって、又は開示されたヨウ素含有エッチング化合物中にキャリアガスをバブリングすることによって蒸発され得る。キャリアガスとしては、限定されないが、Ar、He、N及びそれらの混合物が含まれる。キャリアガスによるバブリングによって、そのままの、又はブレンドされたヨウ素含有エッチング化合物溶液中に存在するいずれかの溶解酸素が除去され得る。次いで、キャリアガス及び開示されたヨウ素含有エッチング化合物は蒸気として反応器中に導入される。
必要であれば、ヨウ素含有エッチング化合物が液体相となり、かつエッチングツールへの送達のために十分な蒸気圧を有することを可能にする温度まで、開示されたヨウ素含有エッチング化合物を含有する容器を加熱してもよい。容器は、例えば、約0℃~約150℃、好ましくは、約25℃~約100℃、より好ましくは、約25℃~約50℃の範囲の温度に維持されてもよい。より好ましくは、容器は、エッチングツールへのラインの加熱を避けるために室温(約25℃)に維持される。当業者は、容器の温度が、蒸発させるヨウ素含有化合物の量を制御するために周知の様式で調整され得ることを認識する。
さらに、ヨウ素含有エッチング化合物は、95体積%~99.999体積%の範囲の純度で送達され、かつCO、CO、N、HO、HF、HS、SO、ハロゲン化物及び他の炭化水素又はヒドロハロカーボンの除去のための周知の標準的精製技術によって精製され得る。
不活性ガスもプラズマを受けるために反応チャンバー中に導入される。不活性ガスは、He、Ar、Xe、Kr、Ne、N2、He又はそれらの組合せであり得る。エッチングガス及び不活性ガスは、不活性ガスが、得られた混合物の約0.01%v/v~約99.9%v/vを構成するように、チャンバーへの導入の前に混合されてもよい。代わりに、不活性ガスは連続的にチャンバーに導入され得るが、エッチングガスは断続的にチャンバーに導入される。
開示されたエッチングガスの蒸気及び不活性ガスをプラズマによって活性化し、活性化エッチングガスを生成する。プラズマによってエッチングガスはラジカル型(すなわち、活性化エッチングガス)へと分解する。プラズマは、RF又はDC電力を適用することによって発生させてもよい。プラズマは、約25W~約100,000Wの範囲のRF電力によって発生させてもよい。プラズマは、遠位で、又は反応器自体の中で発生させてもよい。プラズマは、両電極において適用されたRFによって、デュアルCCP又はICPモードで発生させてもよい。プラズマのRF周波数は、100KHz~1GHzの範囲であり得る。異なる周波数における異なるRF源を組み合わせて、そして同一電極において適用されてもよい。分子断片化及び基材における反応を制御するため、さらにプラズマRFパルスを使用してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
四重極質量分析装置(QMS)、光学発光分光器、FTIR又は他のラジカル/イオン測定ツールによって、生成した種の種類及び数を決定するために、チャンバー排出物からの活性化エッチングガスを測定してもよい。必要であれば、エッチングガス及び/又は不活性ガスのフロー速度は、発生したラジカル種の数を増加又は減少させるように調整されてもよい。
開示されたエッチングガスは、反応チャンバー中への導入の前、又は反応チャンバー中のいずれかで、他のガスと混合されてもよい。好ましくは、ガスは、混入ガスの均一な濃度を提供するために、チャンバーへの導入の前に混合されてよい。
別の選択肢において、ヨウ素含有化合物の蒸気は、2種以上のガスが反応するか又は独立して送達するのがより容易である場合など、他のガスから独立してチャンバー中に導入されてよい。
別の選択肢において、エッチングガス及び不活性ガスは、エッチングプロセスの間に使用される2種のみのガスである。
模範的な他のガスとしては、限定されないが、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO及びそれらの組合せなどの酸化剤が含まれる。開示されたエッチングガス及び酸化剤は、反応チャンバー中に導入される前に一緒に混合されてもよい。
代わりに、酸化剤は連続的にチャンバーに導入され得、かつエッチングガスは断続的にチャンバーに導入される。酸化剤は、チャンバー中に導入される混合物の約0.01%v/v~約99.99%v/vを構成してもよい(99.99%v/vは、連続導入の選択肢に関して、ほぼ純粋な酸化剤の導入を表す)。
開示されたヨウ素含有エッチング化合物が使用され得る他の例示的なガスとしては、cC、C、cC、C、C、CF、CHF、CFH、CH、CHF、C、C、C、C、CHF、CHF、C、C、CFI、CI、CI、CI、1-ヨードヘプタフルオロプロパン(1-CI)、2-ヨードヘプタフルオロプロパン(2-CI)、CHF、COS、FNO、F-C≡N、CS、SO、SF、トランス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(trans-C)、シス-1,1,1,4,4,4-ヘキサフルオロ-2-ブテン(cis-C)、ヘキサフルオロイソブテン(C)、トランス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(trans-C)、1,1,2,2,3-ペンタフルオロシクロブタン(C)、1,1,2,2-テトラフルオロシクロブタン(C)、及びシス-1,1,2,2,3,4-ヘキサフルオロシクロブタン(cis-C)又はそれらの組合せが挙げられる。例えば、約1%v/v~約25%v/vの開示されたヨウ素含有エッチング化合物が使用され得、残りはC又はcCである。以下の実施例に示されるように、開示されたヨウ素含有エッチング化合物と従来のエッチングガスとの組合せによって、基材と、開示されたヨウ素含有エッチング化合物と関連してエッチングされる層との間のより高い選択性を維持しながら、増加したエッチング速度をもたらし得る。
開示されたヨウ素含有エッチング化合物の蒸気及びエッチングガスは、反応チャンバーへの導入の前に混合されてもよい。追加のエッチングガスは、チャンバー中に導入される混合物の約0.01%v/v~約99.99%v/vを構成してもよい。
1つの非限定的なプラズマエッチングプロセスでは、CIなどの開示されたヨウ素含有化合物の蒸気は、制御ガスフローデバイスを使用して、200mmデュアルCCPプラズマエッチングツールへと導入される。制御ガスフローデバイスは、所望の分子の蒸気を送達するための不活性ガスフローによるマスフロー制御器又はバブラーデザインであり得る。高沸点分子の場合、Brooks Automation(No.GF120XSD)、MKS Instrumentsなどからの特別な低圧損失マスフロー制御器が使用され得る。反応チャンバーの圧力は、約30mTorrに設定される。蒸気圧が十分である場合、ガス供給源の加熱は必要とされなくてもよい。2つのCCP電極間の距離は1.35cmに保持され、かつ上部電極RF電力は750Wに固定される。底部電極RF電力は、分子の性能を分析するために変更される。図1aに示されるものと同様に、反応チャンバーは、その上にケイ素含有膜を有する基材を含有する。反射防止コーティング層108は、フッ化炭化水素(例えば、CF及びCH)並びに酸素含有ガス(例えば、O)によってパターン化/エッチングされる。非晶質炭素マスク層は、酸素含有ガスによってパターン化/エッチングされる。SiO及びSiN層104は、開示されたヨウ素含有エッチング化合物(例えば、CI)及びアルゴンのプラズマによってパターン化される。アルゴンは、独立して、250sccmフロー速度においてチャンバー中に導入される。CIは、独立して、15sccmにおいてチャンバー中に導入される。Oは、独立して、チャンバー中に導入され、かつ最適エッチング条件を決定するために0sccm~20sccmで様々である。10:1以上のアスペクト比を有するアパーチャーが作製され、それは3D NAND中のチャネルホール又はコンタクトホール又は階段状エッチング、スリットエッチングとして使用され得る。類似の例は、図2及び3aに示されるような他のスタック層に関して使用されてもよい。
別の非限定的な模範的なプラズマエッチングプロセスにおいて、CIは、制御ガスフローデバイスを使用して、200mmデュアルCCPプラズマエッチングツールへと導入される。制御ガスフローデバイスは、マスフロー制御器であり得る。高沸点分子の場合、Brooks Automation(No.GF120XSD)、MKS Instrumentsなどからの特別な低圧損失マスフロー制御器が使用され得る。反応チャンバーの圧力は、約30mTorrに設定される。蒸気圧力が十分である場合、ガス供給源の加熱は必要とされなくてもよい。2つのCCP電極間の距離は1.35cmに保持され、かつ上部電極RF電力は750Wに固定される。底部電極RF電力は、CIの性能を分析するために変更される。反応チャンバーは、図2に示される層と同様に、その上にSiO厚層104aを有する基材100を含有する。このプロセスの前に、反射防止コーティング層108は、フッ化炭化水素(例えば、CF)及び酸素含有ガス(例えば、O)によって除去され、そしてA-cマスク層106は酸素含有ガスによって除去される。アルゴンは、独立して、250sccmフロー速度においてチャンバー中に導入される。CIは、独立して、15sccmにおいてチャンバー中に導入される。Oは、独立して、最適エッチング条件を決定するために0sccm~20sccmにおいてチャンバー中に導入される。10:1以上のアスペクト比を有するアパーチャーが作成され、それはDRAM中のコンタクトホールとして使用され得る。類似の例は、図1a及び3aに示されるような他のスタック層に関して使用されてもよい。
ケイ素含有膜及び活性化ヨウ素含有エッチングガスは反応して、反応チャンバーから除去される揮発性副生成物を形成する。a-Cマスク、反射防止コーティング及びフォトレジスト層は、活性化エッチングガスに対してそれほど反応性ではない。したがって、活性化エッチングガスはケイ素含有膜と選択的に反応し、揮発性副生成物を形成する。
反応チャンバー中の温度及び圧力は、ケイ素含有膜が活性化エッチングガスと反応するために適切な条件に保持される。例えば、チャンバー中の圧力は、エッチングパラメーターによる必要に応じて、約0.1mTorr~約1000Torr、好ましくは、約1mTorr~約10Torr、より好ましくは、約10mTorr~約1Torr、より好ましくは、約10mTorr~約100mTorrに保持され得る。同様に、チャンバー中の基材温度は、約-196℃~約500℃、好ましくは、約-120℃~約300℃、より好ましくは、約-100℃~約50℃、そしてより好ましくは、約-10℃~約40℃の範囲であり得る。チャンバー壁部温度は、プロセス必要条件次第で、約-196℃~約300℃の範囲であり得る。
ケイ素含有膜と活性化エッチングガスとの間の反応は、基材からのケイ素含有膜の異方性除去をもたらす。窒素、酸素及び/又は炭素原子もケイ素含有膜に存在し得る。除去は、(プラズマによって促進された)プラズマイオンからのケイ素含有膜の物理的スパッタリング及び/又はSiを、xが1~4の範囲であるSiFなどの揮発性種に変換するためのプラズマ種の化学反応による。
開示されたヨウ素含有エッチング化合物のプラズマ活性化蒸気は、好ましくは、マスクに対して高い選択性を示し、かつSiO及びSiNの交互層を通してエッチングが生じ、歪曲又は粗さのない垂直エッチングプロファイルが得られる。これは3D NAND適用に関して重要である。さらに、プラズマ活性化蒸気は、側壁上にポリマーを堆積させ、フィーチャプロファイル変形を最小化する。DRAM及び2D NANDなどの他の用途に関して、例えば、異なるプロセス条件下でのプラズマ活性化エッチングガスは、SiNから選択的にSiOをエッチングし得る。プラズマ活性化エッチングガスは、a-C、フォトレジスト、p-Si又は炭化ケイ素などのマスク層から;或いはCu、W、Ruなどの金属コンタクト層から;或いはSiGe又はポリケイ素領域からなるチャネル領域から、選択的にSiO及び/又はSiNをエッチングし得る。
開示されたエッチングプロセスは、エッチングガスはケイ素含有膜中にチャネルホール、ゲートトレンチ、階段状コンタクト、コンデンサーホール、コンタクトホール、コンタクトエッチ、スリットエッチ、自己整合コンタクト、自己整合ビア、スーパービアなどを作成するため、開示されたヨウ素含有エッチング化合物を使用する。結果として生じるアパーチャーは、約10:1~約200:1の範囲のアスペクト比及び約5nm~約500nm、好ましくは100nm未満の範囲の直径を有し得る。例えば、当業者は、チャネルホールエッチングによって、50:1より高いアスペクト比を有するケイ素含有膜中のアパーチャーが作成されることを認識するであろう。
エッチングされる必要のある典型的な材料は、SiOであり得る。SiOのエッチングプロセスは、ボロホスホシリケートガラス(BPSG)、テトラエチルオルトシリケート(TEOS)又は低堆積速度TEOS(LDTEOS)にトレンチをエッチングすることに関連し得る。エッチング停止層は、窒化ケイ素又は窒化ケイ素酸素(SiON)又はポリケイ素であり得る。使用されたマスク材料は、a-C、p-Si又はフォトレジスト材料であり得る。本明細書中、開示されたヨウ素含有エッチング化合物は、SiO、SiN、p-Si及び/又はa-C基材膜をエッチングするために使用される。
以下の実施例に示されるように、開示されたヨウ素含有エッチング化合物を用いたSiO、SiN、p-Si及びa-C膜のドライエッチングの評価からは、ヨウ素含有HFCが、先行技術のフルオロカーボンと比較して非晶質炭素、窒化ケイ素及びp-Siに対する酸化ケイ素の選択性を最も高くすることが示される。高い選択性の理由は、エッチングガスのプラズマ解離時に低F/Cのヨウ素含有フラグメントが形成されて基板上に保護ポリマー膜が形成されるためと考えられる。さらに、ヨウ素はイオン化され、Ar、Kr、及びXeなどの不活性ガスと同様に重いIイオンを表面に衝突させる。また、イオン化されたヨウ素原子は、高アスペクト比のエッチングに有利になるように、有機ハードマスクをドーピングしてエッチング耐性を改善することにより、有機ハードマスクの表面を改質する。活性化されたヨウ素含有エッチング化合物は、パターン化されたマスク層を強化するヨウ素イオンを生成し、これにより、基板にパターン化された構造を形成しながらもパターン化されたマスク層を補強して損傷を最小限に抑える。本明細書で示されるエッチングガスの結果は、コンタクトエッチングプロセスなどのパターン化された構造のエッチングプロセスに期待されるのみならず、低誘電率エッチングプロセスを含むケイ素又は金属含有基板上での他のエッチングプロセスにも有益である可能性があることを示している。加えて、開示されたヨウ素含有エッチング化合物を用いたエッチングによりパターン化された構造を形成するプロセスでは、パターンマスク層の表面にヨウ素をドーピングして表面を改質することにより、パターンマスク層のエッチング耐性を高めることができる。この場合、活性されたヨウ素含有エッチング化合物からのヨウ素イオンは、基板からケイ素含有膜をエッチングしてパターン化された構造を形成しながら、パターン化されたマスク層(すなわちパターン化されたマスク層の表面及び/又は本体/バルク)に注入される。さらに、開示されたヨウ素含有エッチング化合物は、パーフルオロカーボン化合物に起因する地球温暖化係数の低減に適している。
次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、かつ本明細書に記載される本発明の範囲を制限するように意図されない。
以下の実施例では、市販のLAMツール4520XLe200mm(CCPデュアル周波数プラズマ)を用いて、或いは市販のAMEC300mmPrimo SSC HD-RIEエッチャーを用いて実験を行った。平坦ウエハはAdvantive Techから購入した。試験した平坦ウエハは、以下の4枚の異なる基板である。
Si基板上に2umのPECVD TEOS(SiO);
Si基板上に2umのPECVD Si(SiN);
Si基板上に300nmのLPCVD ポリシリコン(ポリSi);及び
Si基板上に350 PECVD 非晶質炭素(a-C)。
平面試験では、エリプソメータ及び/又は走査型電子顕微鏡(SEM)を使用してエッチング時間の関数としてエッチング厚さの変化を測定することにより、エッチング速度(ER)を測定した。エッチング実験は、上で列挙したSiO、SiN、p-Si及びa-Cを含む4つの異なる基板材料を有する4つの1.5×1.5cmのクーポンに対して行った。クーポンは、直径200mmのキャリアウエハ上に配置され、シリコンオイル又はサーマルペーストを使用して接触した状態で保持される。或いは、キャリアウエハ上にクーポンを貼り付けるために2spi製造業者から入手した両面カーボンテープが使用されていてもよい。
エッチング試験は、30mTorrの圧力及び750W(27MHz)の電源、1500W(2MHz)のバイアス電力、及び20℃の温度で行った。供給混合物は、250sccmのArと15sccmのエッチングガスを含むが、Oは0~20sccmの範囲で変化する。
再現性を証明するために、各エッチング試験を少なくとも3回繰り返した。3回の測定の平均の標準偏差は、チャート中のエラーバーとして示されている。その後、ポリマーの組成をX線光電子分光法(XPS)によって調べた。
比較例
図4は、1-CI(CAS No.754-34-7)、O及びArによるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。図4中、y軸はエッチング速度を表し;x軸はsccm単位のO流量であり;O流量は0~20sccmで変動するが、1-CIの流量は15sccmに固定され、Arは250sccmに固定される。O流量が0から20に上昇すると反比例の傾向が観察され;O流量が増加するとSiOのERが減少する一方で、O流量が増加するとSiN、p-Si及びa-CのERが増加する。したがって、最も高い選択性、すなわちSiOのERと他の材料のER速度の比率は、酸素が流れていないときに示される。Oが0sccmでは、SiO/a-Cの選択性は38.5であり、SiO/p-Siの選択性は22であり、SiO/SiNの選択性は11である。
図5は、CIの種の濃度(Torr)に対する電子衝突イオン化エネルギー(eV)を示すグラフである。10~20eVで生成する主なフラグメントは、CF、C、及びIである。
実施例1
図6は、1-CI、O及びArによるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。図6中、y軸はエッチング速度を表し;x軸はsccm単位のO流量であり;O流量は0~20sccmで変動するが、1-CIの流量は15sccmに固定され、Arは250sccmに固定される。
の流量が0から20に上昇すると反比例の傾向が観察され;O流量が増加するとSiOのERが減少する一方で、O流量が増加するとSiN、p-Si及びa-CのERが増加する。したがって、最も高い選択性、すなわちSiOのERと他の材料のER速度の比率は、酸素が流れていないときに示される。Oが0sccmでは、SiO/a-Cの選択性は71であり、SiO/p-Siの選択性は47であり、SiO/SiNの選択性は17である。図4で示された1-CIの結果と比較すると、1-CIは1-CIよりもSiOのERとSiOの選択性の両方に関して、試験した他の全ての材料よりも優れている。
ヨウ素を添加すると、C-I結合がより弱いことに起因して、1-CIと1-CIの両方のフラグメンテーションが増加する。表面に衝突するヨウ素イオンのイオン化閾値が低いため、a-C、ポリSi、又はSiNと比較して酸化物(SiO)のエッチングについての選択性が増加する。表3は、産業界で一般的に使用されているベンチマークの標準エッチングガスであるcC及びCとの比較である。1-CIは、ベンチマークガス(cC及びC)よりも高いSiO/a-C選択性を示し、それぞれ約88%及び85%高く、1-CIよりも約46%高い。1-CIは、ベンチマークガス(cC及びC)よりも高いSiOのERを示し、それぞれ8.6%及び16.4%高く、1-CIよりも9%高い。図10及び図11は、それぞれcC及びCによるSiO、SiN、p-Si及びa-Cのエッチング速度を示すグラフである。
実施例2
ドーパントを含む又は含まない異なるa-Cマスク層上での1-CIエッチング後のXPSデータ分析。
75°のサンプルの傾斜及び深さ方向プロファイルでXPS角度分解を行い、a-Cマスク上のポリマー組成を分析してSiO基板と比較した。
パターンのプラズマ処理エッチング中に上面及び側壁に生じるポリマー層の形成をシミュレートするために、プロセス条件を調整した:a-Cマスク、ホウ素ドープa-C[a-C(B)]及びタングステンドープa-C[a-C(W)]及びSiO(TEOS)クーポンを、30mTorrで60秒間、電源750W(27MHz)、基板のバイアス電力1500W(2MHz)でエッチングした。プロセスでは、125sccmのArと30sccmの1-CIを含み、Oを含まない混合物が供給される。表面に到達した中性種と活性種は、その付着係数に基づいて表面に付着し、薄いポリマー層を堆積させる。この薄いポリマー層が側壁のパッシベーションに貢献でき、多くの場合、選択性を提供する。表4~表6は、1-CIエッチング後の異なるa-C層の75°で分解されたXPS角度である。
3つの異なるa-Cマスク[a-C、a-C(B)、a-C(W)]におけるヨウ素の表面原子濃度は約2%に相当する。
XPS深さ方向プロファイルについては、化学的損傷を最小限に抑えるために、及びフッ素樹脂又は非晶質炭素などの有機材料スパッタリング中に起こりうるアルゴンスパッタリング中のヨウ素原子のノックオンの可能性を回避するために、単原子Arの代わりに、5keVのイオンエネルギーの大きなクラスターイオンガスであるAr1000 を使用した。
a-C基板上の深さ方向プロファイル:表面原子濃度(%)は表7及び図7に示されている。a-C(B)基板上の深さ方向プロファイル:表面原子濃度(%)は表8及び図8に示されている。a-C(W)基板上の深さ方向プロファイル:表面原子濃度(%)は表9及び図9に示されている。SiO基板上の1-CIエッチング後の表面原子濃度は表10に示されている。
開示されたヨウ素含有エッチング化合物は、Siベースの材料のエッチングと比較して、a-Cマスクエッチング中に興味深い挙動を示す。XPSデータによれば、ヨウ素はドーピング効果と同様にa-Cマスクに深く浸透し、エッチング中のマスクの保存に役立つ。
・a-C、a-C(B)及びa-C(W)の全てにおいて、表面で約2%、バルク深部でそれ以下の量でヨウ素が検出された;
・表面のC(x≦4、y≦9、z≦1)ポリマーが全てのa-Cマスクで検出された(最大50秒のエッチング);
・異なるa-Cマスクにおけるヨウ素対フッ素の浸透性:
・a-Cでは:IがFよりも深く浸透する(それぞれ410秒対450秒)、
・a-C(W)では:IがFよりも深く浸透する(それぞれ90秒対45秒)、
・a-C(B)では:FがIよりも深く浸透する(それぞれ210秒対90秒);
・比較すると、ヨウ素はSiO基板上ではほとんど検出されず(0.3%)、非常に薄いFCポリマーが形成されるのみであった。
この実施例は、ヨウ素イオンがC原子から構成されるポリマー層を堆積することによってパターンマスクの表面を改質し、ヨウ素イオンがパターンマスク層のバルクに深く浸透することを示す。エッチング後のパターンマスクの組成に関するXPSデータを、実施例1で示したエッチングデータと相関させると、ヨウ素イオンの効果を観察することができる。ヨウ素含有エッチング化合物は、有機層との架橋を増加させることによってパターンマスク層の保存を助け、パターンマスクをより強くし、エッチング耐性を向上させる。
本明細書で説明される主題は、ユーザ対話型コンポーネントを有するコンピューティングアプリケーションのための1つ以上のコンピューティングアプリケーション機能/動作を処理するための例示的な実装との関係で説明され得るが、主題はこれらの特定の実施形態に限定されない。むしろ、本明細書に記載の技術は、任意の適切なタイプのユーザ対話型コンポーネント実行管理方法、システム、プラットフォーム、及び/又は装置に適用することができる。
本発明の性質を説明するために本明細書で説明及び図示されたパーツの詳細、材料、ステップ、及び配置における多くの追加の変更が、添付の特許請求の範囲に記載されている本発明の原理及び範囲内で当業者によって行われ得ることは理解されるであろう。したがって、本発明は、上で示した実施例及び/又は添付の図面における具体的な実施形態に限定されることを意図するものではない。
本発明の実施形態が示されて、説明されているが、本発明の精神及び教示から逸脱することなく、当業者によって修正され得る。本明細書に記載の実施形態は、単に模範的なものであり、限定するものではない。組成物及び方法の多くの変形及び修正は可能であり、かつそれらは本発明の範囲内である。したがって、保護の範囲は、本明細書に記載された実施形態に限定されないが、請求の範囲の対象の全ての同等物を含む請求の範囲によってのみ限定される。

Claims (20)

  1. パターン化された構造を形成する方法であって、
    基板上に配置されたケイ素含有膜と、前記ケイ素含有層上に配置されたパターン化されたマスク層とを有する前記基板が入っている反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入すること;
    プラズマを活性化して、活性化されたヨウ素含有エッチング化合物を生成すること;及び
    前記活性化されたヨウ素含有エッチング化合物と前記ケイ素含有膜との間でエッチング反応を進行させて、前記パターン化されたマスク層から前記ケイ素含有膜を選択的にエッチングし、それによって前記パターン化された構造を形成すること;
    を含む方法。
  2. 酸化剤を前記反応チャンバーに導入するステップをさらに含み、前記酸化剤が、O、O、CO、CO、NO、NO、NO、HO、H、COS、SO、及びそれらの組合せから選択される、請求項1に記載の方法。
  3. 不活性ガスを前記反応チャンバーに導入するステップをさらに含み、前記不活性ガスが、He、Ar、Xe、Kr、Ne及びNからなる群から選択される、請求項1に記載の方法。
  4. 前記ヨウ素含有エッチング化合物がCI又はその異性体である、請求項1に記載の方法。
  5. 前記ケイ素含有膜が、酸化ケイ素(SiO)、窒化ケイ素(SiN)、結晶Si、ポリシリコン(p-Si)、多結晶シリコン、非晶質シリコン、低誘電率SiCOH、SiOCN、SiC、SiON、及びSi(式中、a>0であり;b、c、d、及びe≧0である)、交互のSiO層とSiN(ONON)との層、交互のSiO層とp-Si(OPOP)との層を含む、請求項1に記載の方法。
  6. 前記ケイ素含有膜が、B、C、P、As、Ga、In、Sn、Sb、Bi及び/又はGe、又はそれらの組合せなどのドーパントを任意選択的に含む、請求項1~5のいずれか一項に記載の方法。
  7. 前記ヨウ素含有エッチング化合物を使用して前記酸化ケイ素層をエッチングするエッチング速度が、代替エッチングガスとしてのcC、C、CF、CHF、CFH、CH、及びそれらの組合せを使用して前記酸化ケイ素層をエッチングするエッチング速度よりも高い、請求項1~5のいずれか一項に記載の方法。
  8. 前記交互のSiOとSiN(ONON)との層をエッチングする前記ヨウ素含有エッチング化合物のプラズマが、SiO層対SiN層に関して約1:2~約2:1の選択性を有する、請求項1~5のいずれか一項に記載の方法。
  9. 前記交互のSiOとSiN(ONON)との層をエッチングする前記ヨウ素含有エッチング化合物のプラズマが、SiO層対SiN層に関して約1:1の選択性を有する、請求項1~5のいずれか一項に記載の方法。
  10. 前記交互のSiOとp-Si(OPOP)との層をエッチングする前記ヨウ素含有エッチング化合物のプラズマが、SiO層対p-Si層に関して約1:2~約2:1の選択性を有する、請求項1~5のいずれか一項に記載の方法。
  11. 前記交互のSiOとp-Si(OPOP)との層をエッチングする前記ヨウ素含有エッチング化合物のプラズマが、SiO層対p-Si層に関して約1:1の選択性を有する、請求項1~5のいずれか一項に記載の方法。
  12. 前記パターン化されたマスク層が、非晶質カーボン層、ドープされた非晶質カーボン層、フォトレジスト層、反射防止層、有機平坦化層、及びそれらの組合せである、請求項1~5のいずれか一項に記載の方法。
  13. 前記活性化されたヨウ素含有エッチング化合物が、エッチングされる前記ケイ素含有膜の底部に位置するランディング層から前記ケイ素含有膜を選択的にエッチングする、請求項1に記載の方法。
  14. 前記ランディング層が、W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Nb、Cr、Rh、Pd、Ir、V、Au、Ag又はそれらの組合せから選択される、請求項1~13のいずれか一項に記載の方法。
  15. 前記ランディング層が、AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SrN又はそれらの組合せから選択される金属酸化物層又は金属窒化物層である、請求項1~13のいずれか一項に記載の方法。
  16. 前記ケイ素含有膜に形成される前記パターン化された構造が約1:1~約200:1のアスペクト比を有する、請求項1~5のいずれか一項に記載の方法。
  17. 基板にパターン化された構造を形成する一方でパターン化されたマスク層を補強する及び/又は強化する及び/又はその損傷を最小限にする方法であって、
    基板上に堆積されたケイ素含有膜と、前記ケイ素含有層上に堆積されたパターン化されたマスク層とを有する前記基板が入っている反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入すること;
    プラズマを活性することによって形成された活性化されたヨウ素含有エッチング化合物を使用して、前記パターン化されたマスク層から前記ケイ素含有膜をエッチングし、前記パターン化された構造を形成すること;
    を含み、
    前記活性化されたヨウ素含有エッチング化合物が、前記パターン化されたハードマスク層に注入されるヨウ素イオンを生成し、それによって前記パターン化されたマスク層を強化する、
    方法。
  18. 前記ヨウ素含有エッチング化合物がCI又はその異性体である、請求項17に記載の方法。
  19. 基板にパターン化された構造を形成するプロセスにおいて、パターン化されたマスク層のエッチング耐性を高める方法であって、
    ヨウ素含有エッチング化合物の蒸気を、基板上に配置されたケイ素含有膜と、前記ケイ素含有層上に配置された前記パターン化されたマスク層とを有する前記基板が入っている反応チャンバー中に、式C(式中、4≦n≦10であり、0≦x≦21であり、0≦y≦21であり、1≦z≦4である)を有するヨウ素含有エッチング化合物の蒸気を導入すること;
    プラズマを活性化して、前記パターン化されたマスク層にヨウ素をドープすることができる活性化されたヨウ素含有エッチング化合物を生成すること;及び
    前記パターン化されたマスク層から前記ケイ素含有膜をエッチングして前記パターン化された構造を形成する一方で、前記活性化されたヨウ素含有エッチング化合物から前記ヨウ素イオンを前記パターン化されたマスク層に注入し、
    それによって前記パターン化されたマスク層をヨウ素で改質して、前記パターン化されたマスク層のエッチング耐性を高めること;
    を含む方法。
  20. 前記ヨウ素含有エッチング化合物がCI又はその異性体である、請求項19に記載の方法。
JP2022579111A 2020-06-26 2021-06-23 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物 Pending JP2023531687A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/913,696 2020-06-26
US16/913,696 US11798811B2 (en) 2020-06-26 2020-06-26 Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
PCT/US2021/038668 WO2021262841A1 (en) 2020-06-26 2021-06-23 Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures

Publications (1)

Publication Number Publication Date
JP2023531687A true JP2023531687A (ja) 2023-07-25

Family

ID=79031416

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022579111A Pending JP2023531687A (ja) 2020-06-26 2021-06-23 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物

Country Status (7)

Country Link
US (1) US11798811B2 (ja)
EP (1) EP4189727A1 (ja)
JP (1) JP2023531687A (ja)
KR (1) KR20230019981A (ja)
CN (1) CN116134981A (ja)
TW (1) TW202204297A (ja)
WO (1) WO2021262841A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021534589A (ja) * 2018-08-24 2021-12-09 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比エッチングのための金属含有パシベーション
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
JP2022144220A (ja) * 2021-03-18 2022-10-03 キオクシア株式会社 半導体装置の製造方法およびエッチング方法
WO2023244214A1 (en) * 2022-06-14 2023-12-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3559691B2 (ja) 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US7931820B2 (en) * 2000-09-07 2011-04-26 Daikin Industries, Ltd. Dry etching gas and method for dry etching
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
TW200735206A (en) 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
TWI437633B (zh) 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US20080191163A1 (en) 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
KR20110051197A (ko) * 2008-07-15 2011-05-17 솔베이 플루오르 게엠베하 식각 처리물의 제조 방법
US8623148B2 (en) * 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8603921B2 (en) * 2011-07-25 2013-12-10 Applied Materials, Inc. Maintaining mask integrity to form openings in wafers
US8963156B2 (en) * 2013-02-22 2015-02-24 Micron Technology, Inc. Semiconductor devices including WiSX
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10453751B2 (en) * 2017-02-14 2019-10-22 Globalfoundries Inc. Tone inversion method and structure for selective contact via patterning
US10586710B2 (en) * 2017-09-01 2020-03-10 Tokyo Electron Limited Etching method
KR102165039B1 (ko) * 2019-10-31 2020-10-14 성균관대학교산학협력단 건식 식각 방법 및 이에 사용되는 식각 전구체
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching

Also Published As

Publication number Publication date
WO2021262841A1 (en) 2021-12-30
TW202204297A (zh) 2022-02-01
CN116134981A (zh) 2023-05-16
US20210407817A1 (en) 2021-12-30
KR20230019981A (ko) 2023-02-09
US11798811B2 (en) 2023-10-24
EP4189727A1 (en) 2023-06-07
WO2021262841A8 (en) 2022-12-29

Similar Documents

Publication Publication Date Title
EP3563406B1 (en) Iodine-containing compounds for etching semiconductor structures
JP6527214B2 (ja) エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
JP2023531687A (ja) 半導体構造エッチング用ヨウ素含有フルオロカーボン及びハイドロフルオロカーボン化合物
WO2018126202A1 (en) Methods for minimizing sidewall damage during low k etch processes
JP2024500969A (ja) 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230110

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230110

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20230119

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20230120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240326