CN116134981A - 用于蚀刻半导体结构的含碘的氟碳化合物和氢氟烃化合物 - Google Patents
用于蚀刻半导体结构的含碘的氟碳化合物和氢氟烃化合物 Download PDFInfo
- Publication number
- CN116134981A CN116134981A CN202180048256.8A CN202180048256A CN116134981A CN 116134981 A CN116134981 A CN 116134981A CN 202180048256 A CN202180048256 A CN 202180048256A CN 116134981 A CN116134981 A CN 116134981A
- Authority
- CN
- China
- Prior art keywords
- layer
- iodine
- etching
- silicon
- equal
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000005530 etching Methods 0.000 title claims abstract description 386
- 229910052740 iodine Inorganic materials 0.000 title claims description 212
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 title claims description 209
- 239000011630 iodine Substances 0.000 title claims description 208
- 239000004065 semiconductor Substances 0.000 title description 11
- 150000001875 compounds Chemical class 0.000 claims abstract description 217
- 238000000034 method Methods 0.000 claims abstract description 108
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 106
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 105
- 239000010703 silicon Substances 0.000 claims abstract description 105
- 230000008569 process Effects 0.000 claims abstract description 56
- -1 iodide ions Chemical class 0.000 claims abstract description 27
- 150000002496 iodine Chemical class 0.000 claims abstract description 23
- 230000001965 increasing effect Effects 0.000 claims abstract description 18
- 230000002708 enhancing effect Effects 0.000 claims abstract description 7
- 229910052799 carbon Inorganic materials 0.000 claims description 123
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 85
- 239000007789 gas Substances 0.000 claims description 84
- 239000000758 substrate Substances 0.000 claims description 84
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 82
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 82
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 61
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 57
- 238000006243 chemical reaction Methods 0.000 claims description 47
- 239000011261 inert gas Substances 0.000 claims description 31
- 229910052751 metal Inorganic materials 0.000 claims description 29
- 239000002184 metal Substances 0.000 claims description 29
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 28
- 229910052760 oxygen Inorganic materials 0.000 claims description 27
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 23
- 229920002120 photoresistant polymer Polymers 0.000 claims description 21
- 229920005591 polysilicon Polymers 0.000 claims description 19
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 13
- 230000000873 masking effect Effects 0.000 claims description 13
- 229910052757 nitrogen Inorganic materials 0.000 claims description 13
- 229910052739 hydrogen Inorganic materials 0.000 claims description 12
- UAJUXJSXCLUTNU-UHFFFAOYSA-N pranlukast Chemical compound C=1C=C(OCCCCC=2C=CC=CC=2)C=CC=1C(=O)NC(C=1)=CC=C(C(C=2)=O)C=1OC=2C=1N=NNN=1 UAJUXJSXCLUTNU-UHFFFAOYSA-N 0.000 claims description 11
- 229960004583 pranlukast Drugs 0.000 claims description 11
- 229910021419 crystalline silicon Inorganic materials 0.000 claims description 10
- 229910044991 metal oxide Inorganic materials 0.000 claims description 10
- 150000004706 metal oxides Chemical group 0.000 claims description 10
- 150000004767 nitrides Chemical class 0.000 claims description 10
- 239000007800 oxidant agent Substances 0.000 claims description 10
- 230000003213 activating effect Effects 0.000 claims description 9
- SKBLJQADGZYMKA-UHFFFAOYSA-N OPOP Chemical compound OPOP SKBLJQADGZYMKA-UHFFFAOYSA-N 0.000 claims description 8
- 239000002019 doping agent Substances 0.000 claims description 8
- 230000001590 oxidative effect Effects 0.000 claims description 8
- 229910052698 phosphorus Inorganic materials 0.000 claims description 6
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 5
- 229910052720 vanadium Inorganic materials 0.000 claims description 5
- 230000003667 anti-reflective effect Effects 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 4
- 230000003014 reinforcing effect Effects 0.000 claims description 2
- 238000005728 strengthening Methods 0.000 abstract description 3
- 239000003054 catalyst Substances 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 377
- 239000000463 material Substances 0.000 description 51
- 235000012431 wafers Nutrition 0.000 description 29
- 238000001020 plasma etching Methods 0.000 description 27
- 239000001301 oxygen Substances 0.000 description 23
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 22
- 239000000203 mixture Substances 0.000 description 21
- 229920000642 polymer Polymers 0.000 description 20
- 229910004298 SiO 2 Inorganic materials 0.000 description 18
- 229910052721 tungsten Inorganic materials 0.000 description 16
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 15
- 229910052731 fluorine Inorganic materials 0.000 description 14
- 239000011737 fluorine Substances 0.000 description 14
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 13
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- 239000006117 anti-reflective coating Substances 0.000 description 10
- 229910052786 argon Inorganic materials 0.000 description 10
- 150000002500 ions Chemical class 0.000 description 10
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical group [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 9
- 239000010937 tungsten Substances 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 8
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 8
- XTGYEAXBNRVNQU-UHFFFAOYSA-N 1,1,1,2,2,3,3-heptafluoro-3-iodopropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)I XTGYEAXBNRVNQU-UHFFFAOYSA-N 0.000 description 7
- 150000002430 hydrocarbons Chemical class 0.000 description 7
- 239000001257 hydrogen Substances 0.000 description 7
- 150000003254 radicals Chemical class 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000002161 passivation Methods 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 238000001312 dry etching Methods 0.000 description 5
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 5
- 150000002739 metals Chemical class 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 238000012360 testing method Methods 0.000 description 5
- 230000008016 vaporization Effects 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- BBZVTTKMXRPMHZ-UHFFFAOYSA-N 1,1,1,2,3,3,3-heptafluoro-2-iodopropane Chemical compound FC(F)(F)C(F)(I)C(F)(F)F BBZVTTKMXRPMHZ-UHFFFAOYSA-N 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical group [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 238000009833 condensation Methods 0.000 description 4
- 230000005494 condensation Effects 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000012634 fragment Substances 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 238000009834 vaporization Methods 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000009835 boiling Methods 0.000 description 3
- 230000005587 bubbling Effects 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 230000015654 memory Effects 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 238000010792 warming Methods 0.000 description 3
- LMSLTAIWOIYSGZ-XIXRPRMCSA-N (3s,4r)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-XIXRPRMCSA-N 0.000 description 2
- LMSLTAIWOIYSGZ-LWMBPPNESA-N (3s,4s)-1,1,2,2,3,4-hexafluorocyclobutane Chemical compound F[C@H]1[C@H](F)C(F)(F)C1(F)F LMSLTAIWOIYSGZ-LWMBPPNESA-N 0.000 description 2
- PGRFXXCKHGIFSV-UHFFFAOYSA-N 1,1,1,2,2,3,3,4,4-nonafluoro-4-iodobutane Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)I PGRFXXCKHGIFSV-UHFFFAOYSA-N 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- QMIWYOZFFSLIAK-UHFFFAOYSA-N 3,3,3-trifluoro-2-(trifluoromethyl)prop-1-ene Chemical group FC(F)(F)C(=C)C(F)(F)F QMIWYOZFFSLIAK-UHFFFAOYSA-N 0.000 description 2
- HMHHSXJDJHNSEF-UHFFFAOYSA-N F[C]I Chemical compound F[C]I HMHHSXJDJHNSEF-UHFFFAOYSA-N 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- 229910008482 TiSiN Inorganic materials 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 150000001722 carbon compounds Chemical class 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000004821 distillation Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 2
- PZVZTKFRZJMHEM-UHFFFAOYSA-N iodotrifluoroethylene Chemical group FC(F)=C(F)I PZVZTKFRZJMHEM-UHFFFAOYSA-N 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical compound NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000004626 scanning electron microscopy Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- FBJVLVWUMYWJMY-UHFFFAOYSA-N 1,1,1,2,2,3,4,4,4-nonafluoro-3-iodobutane Chemical compound FC(F)(F)C(F)(F)C(F)(I)C(F)(F)F FBJVLVWUMYWJMY-UHFFFAOYSA-N 0.000 description 1
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 description 1
- BCOSEZGCLGPUSL-UHFFFAOYSA-N 2,3,3-trichloroprop-2-enoyl chloride Chemical compound ClC(Cl)=C(Cl)C(Cl)=O BCOSEZGCLGPUSL-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229920002449 FKM Polymers 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 239000002033 PVDF binder Substances 0.000 description 1
- 239000004813 Perfluoroalkoxy alkane Substances 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910007991 Si-N Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910006294 Si—N Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000013543 active substance Substances 0.000 description 1
- 239000003463 adsorbent Substances 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000007405 data analysis Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 238000013467 fragmentation Methods 0.000 description 1
- 238000006062 fragmentation reaction Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-M iodide Chemical compound [I-] XMBWDFGMSWQBCA-UHFFFAOYSA-M 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 239000002808 molecular sieve Substances 0.000 description 1
- SYSQUGFVNFXIIT-UHFFFAOYSA-N n-[4-(1,3-benzoxazol-2-yl)phenyl]-4-nitrobenzenesulfonamide Chemical class C1=CC([N+](=O)[O-])=CC=C1S(=O)(=O)NC1=CC=C(C=2OC3=CC=CC=C3N=2)C=C1 SYSQUGFVNFXIIT-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 229920011301 perfluoro alkoxyl alkane Polymers 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229920002493 poly(chlorotrifluoroethylene) Polymers 0.000 description 1
- 239000005023 polychlorotrifluoroethylene (PCTFE) polymer Substances 0.000 description 1
- 229920006254 polymer film Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 239000012264 purified product Substances 0.000 description 1
- 229910001404 rare earth metal oxide Inorganic materials 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229920002545 silicone oil Polymers 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000011179 visual inspection Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02321—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/30—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
- H10B43/35—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Non-Volatile Memory (AREA)
- Semiconductor Memories (AREA)
Abstract
披露了用于蚀刻含硅膜以形成图案化结构的方法、用于在形成图案化结构的同时补强和/或增强和/或最小化图案化掩模层的损害的方法以及用于在形成图案化结构的工艺中增加图案化掩模层的抗蚀刻性的方法。该方法包括使用经活化的具有式CnHxFyIz的含碘蚀刻化合物作为蚀刻气体,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4。该经活化的含碘蚀刻化合物产生碘离子,该碘离子被注入图案化的硬掩模层中,从而增强该图案化掩模层。
Description
相关申请的交叉引用
本申请要求于2020年6月26日提交的美国申请号16/913,696的权益,出于所有的目的将该申请以其全文通过援引并入本文。
技术领域
披露了用于蚀刻含硅膜以形成图案化结构的方法、用于在形成图案化结构的同时补强和/或增强和/或最小化图案化掩模层的损害的方法以及用于在使用含碘蚀刻化合物形成图案化结构的工艺中增加图案化掩模层的抗蚀刻性的方法。含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4。
背景技术
在半导体工业中,对于3D NAND,对多个SiO/SiN或SiO/多晶硅(p-Si)层的堆叠进行蚀刻是关键的。参见,例如,三星电子公司(Samsung Electronics Co.,Ltd.)的US 2011/0180941。在掩模与被蚀刻层之间具有高选择性的蚀刻剂是必要的。此外,经蚀刻的结构应该具有直的垂直轮廓而无弯曲以及低的线蚀刻粗糙度(LER)。
传统的蚀刻气体包括八氟环丁烷(cC4F8)、六氟-1,3-丁二烯(C4F6)、CF4、CH2F2、CH3F、和/或CHF3。众所周知的是选择性和聚合物沉积速率随着C:F的比率增加而增加(即C4F6>C4F8>CF4)。参见,例如,Hung等人的US 6387287。另外,其他气体诸如惰性气体像Ar、Kr或Xe被添加到等离子体中,在该等离子体中它们被电离并加速到晶片表面,从而轰击该表面并支持蚀刻工艺。因为它们是惰性气体,所以它们不直接参与蚀刻工艺的化学反应。
然而,至少由于在等离子体蚀刻工艺期间在侧壁上不足够的抗蚀刻聚合物沉积,传统的蚀刻化学过程可能不能够提供具有高于20:1的纵横比的特征(feature),诸如孔洞或沟槽,该特征在较新的应用(例如3D NAND)中是必要的。侧壁-CxFy-聚合物可能易于蚀刻,其中x在从0.01至1的范围内并且y在从0.01至4的范围内。其结果是,经蚀刻的图案可能不是垂直的,并且蚀刻结构可能示出弯曲、尺寸变化、图案坍塌和/或增加的粗糙度。
弯曲可能由掩模层的侧壁蚀刻产生,该掩模层可经常是无定形碳(a-C)材料。a-C材料可能被等离子体中的氧自由基蚀刻,这可能引起增加的掩模开口并导致弯曲状、或成角的/曲线的蚀刻结构。
含碘化合物已被用作蚀刻气体和/或降低全球变暖潜能(GWP)。例如,Gupta等人(US 10,607,850)披露了含碘蚀刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,当a=3时x+y+z=6或8。Chung(美国专利号9,460,935)披露了在尤其使用1,1,2,2-四氟-1-碘-乙烷产生的等离子体下蚀刻第一蚀刻层和第二蚀刻层。还参见Karecki等人“Plasma etching of dielectric films withnovel iodofluorocarbon chemistries:iodotrifluoroethylene and 1-iodoheptafluoropropane[采用新颖的碘氟碳化合物化学:碘三氟乙烯和1-碘七氟丙烷,等离子体蚀刻介电膜]”,J.Vac.Sci.Technol.[真空科学技术杂志]A 16,755(1998);JP2006/108484;以及TWI343601。与全氟化碳化合物相比,含碘蚀刻化合物已经示出在全球变暖排放方面的显著降低(参见S.Karecki、L.Pruette、以及R.Reif,J.Electrochem.Soc.[电化学学会杂志]145,4305(1998))。
重要的是使弯曲最小化并实现用于当前应用(例如,接触蚀刻或3DNAND)所需要的高纵横比(即,最高达200:1)。此外,现今的蚀刻已不限于对光致抗蚀剂掩模的选择性。同样重要的是在其他材料诸如a-C、SiN、p-Si、SiC或其他形式的SiaCbOcHdNe材料(其中a>0;b、c、d和e≥0)之中获得高选择性。
因此,依然需要适用于等离子体蚀刻应用中的蚀刻气体组合物,这些组合物维持对于宽范围的工艺条件的选择性和高纵横比。
发明内容
披露了形成图案化结构的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有具有设置在其上的含硅膜和设置在含硅层上的图案化掩模层的衬底,其中该含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;
使等离子体活化以产生经活化的含碘蚀刻化合物;以及
允许在该经活化的含碘蚀刻化合物与该含硅膜之间进行蚀刻反应,以从该图案化掩模层选择性地蚀刻该含硅膜,从而形成该图案化结构。所披露的方法可以包括以下方面中的一项或多项:
●进一步包括将氧化剂引入该反应腔室中;
●该氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及它们的组合;
●该氧化剂是O2;
●进一步包括将惰性气体引入该反应腔室中;
●该惰性气体选自由He、Ar、Xe、Kr、Ne和N2组成的组;
●该惰性气体是Ar;
●该惰性气体是Xe;
●该惰性气体是Kr;
●含碘蚀刻化合物是氢氟烃化合物;
●含碘蚀刻化合物是有机氟化合物;
●含碘蚀刻化合物是含氟烃化合物;
●含碘蚀刻化合物是氟碳化合物;
●含碘蚀刻化合物是烃化合物;
●含碘蚀刻化合物,其不含氢;
●含碘蚀刻化合物,其含有氢;
●含碘蚀刻化合物,其含有氟;
●含碘蚀刻化合物,其不含氟;
●含碘蚀刻化合物是C4F9I、C5F11I、C6F13I、C7F15I、C8F17I、C9F19I、C10F21I或它们的异构体;
●含碘蚀刻化合物是C4HF8I、C5HF10I、C6HF12I、C7HF14I、C8HF16I、C9HF18I、C10HF20I、C4HF6I、C5HF8I、C6HF10I、C7HF12I、C8HF14I、C9HF16I、C10HF18I、C4HF4I、C5HF6I、C6HF8I、C7HF10I、C8HF12I、C9HF14I、C10HF16I、C4HF7I2、C5HF9I2、C6HF11I2、C7HF13I2、C8HF15I2、C9HF17I2、C10HF19I2、C4HF5I2、C5HF7I2、C6HF9I2、C7HF11I2、C8HF13I2、C9HF15I2、C10HF17I2、C4HF3I2、C5HF5I2、C6HF7I2、C7HF9I2、C8HF11I2、C9HF13I2、C10HF15I2、C4HF6I3、C5HF8I3、C6HF10I3、C7HF12I3、C8HF14I3、C9HF16I3、C10HF18I3、C4HF4I3、C5HF6I3、C6HF8I3、C7HF10I3、C8HF12I3、C9HF14I3、C10HF16I3、C4HF5I4、C5HF7I4、C6HF9I4、C7HF11I4、C8HF13I4、C9HF15I4、C10HF17I4、C4HF3I4、C5HF5I4、C6HF7I4、C7HF9I4、C8HF11I4、C9HF13I4、C10HF15I4、C4HFI4、C5HF3I4、C6HF5I4、C7HF7I4、C8HF9I4、C9HF11I4、C10HF13I4或它们的异构体;
●含碘蚀刻化合物是C4H2F7I、C5H2F9I、C6H2F11I、C7H2F13I、C8H2F15I、C9H2F17I、C10H2F19I、C4H2F5I、C5H2F7I、C6H2F9I、C7H2F11I、C8H2F13I、C9H2F15I、C10H2F17I、C4H2F6I2、C5H2F8I2、C6H2F10I2、C7H2F12I2、C8H2F14I2、C9H2F16I2、C10H2F18I2、C4H2F4I2、C5H2F6I2、C6H2F8I2、C7H2F10I2、C8H2F12I2、C9H2F14I2、C10H2F16I2、C4H2F5I3、C5H2F7I3、C6H2F9I3、C7H2F11I3、C8H2F13I3、C9H2F15I3、C10H2F17I3、C4H2F3I3、C5H2F5I3、C6H2F7I3、C7H2F9I3、C8H2F11I3、C9H2F13I3、C10H2F15I3、C4H2F4I4、C5H2F6I4、C6H2F8I4、C7H2F10I4、C8H2F12I4、C9H2F14I4、C10H2F16I4、C4H2F2I4、C5H2F4I4、C6H2F6I4、C7H2F8I4、C8H2F10I4、C9H2F12I4、C10H2F14I4或它们的异构体;
●含碘蚀刻化合物是C4H3F6I、C5H3F8I、C6H3F10I、C7H3F12I、C8H3F14I、C9H3F16I、C10H3F18I、C4H3F4I、C5H3F6I、C6H3F8I、C7H3F10I、C8H3F12I、C9H3F14I、C10H3F16I、C4H3F5I2、C5H3F7I2、C6H3F9I2、C7H3F11I2、C8H3F13I2、C9H3F15I2、C10H3F17I2、C4H3F3I2、C5H3F5I2、C6H3F7I2、C7H3F9I2、C8H3F11I2、C9H3F13I2、C10H3F15I2、C4H3F4I3、C5H3F6I3、C6H3F8I3、C7H3F10I3、C8H3F12I3、C9H3F14I3、C10H3F16I3、C4H3F2I3、C5H3F4I3、C6H3F6I3、C7H3F8I3、C8H3F10I3、C9H3F12I3、C10H3F14I3、C4H3F3I4、C5H3F5I4、C6H3F7I4、C7H3F9I4、C8H3F11I4、C9H3F13I4、C10H3F15I4、C4H3F1I4、C5H3F3I4、C6H3F5I4、C7H3F7I4、C8H3F9I4、C9H3F11I4、C10H3F13I4或它们的异构体;
●含碘蚀刻化合物是C4H4F5I、C5H4F7I、C6H4F9I、C7H4F11I、C8H4F13I、C9H4F15I、C10H4F17I、C4H4F3I、C5H4F5I、C6H4F7I、C7H4F9I、C8H4F11I、C9H4F13I、C10H4F15I、C4H4F4I2、C5H4F6I2、C6H4F8I2、C7H4F10I2、C8H4F12I2、C9H4F14I2、C10H4F16I2、C4H4F2I2、C5H4F4I2、C6H4F6I2、C7H4F8I2、C8H4F10I2、C9H4F12I2、C10H4F14I2、C4H4F3I3、C5H4F5I3、C6H4F7I3、C7H4F9I3、C8H4F11I3、C9H4F13I3、C10H4F15I3、C4H4FI3、C5H4F3I3、C6H4F5I3、C7H4F7I3、C8H4F9I3、C9H4F11I3、C10H4F13I3、C4H4F2I4、C5H4F4I4、C6H4F6I4、C7H4F8I4、C8H4F10I4、C9H4F12I4、C10H4F14I4、C4H4I4、C5H4F2I4、C6H4F4I4、C7H4F6I4、C8H4F8I4、C9H4F10I4、C10H4F12I4或它们的异构体;
●含碘蚀刻化合物是C4F9I或其异构体;
●经等离子体活化的含碘蚀刻化合物,其与含硅膜反应以形成挥发性副产物;
●将这些挥发性副产物从该反应腔室中移除;
●含硅膜,其包含氧、氮、碳、氢、碳或它们的组合;
●含硅膜,其包含以下各项的层:氧化硅(SiO)、氮化硅(SiN)、晶体Si、多晶硅(p-Si)、多晶硅(polycrystalline silicon)、无定形硅、低-kSiCOH、SiOCN、SiC、SiON和SiaObHcCdNe(其中a>0;b、c、d和e≥0)、交替的SiO和SiN(ONON)层、交替的SiO和p-Si(OPOP)层;
●含硅膜,其任选地含有掺杂剂,诸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、或它们的组合;
●含硅膜,其包含氧、氮、碳、氢、或它们的组合;
●含硅膜是SiOxNyHzCk,其中x在从0至2的范围内,y在从0至4的范围内,z在从0至约1的范围内并且k在从0至1的范围内;
●含硅膜,其包含SiO层;
●含硅膜是SiN层;
●含硅膜,其包含交替的SiO和SiN(ONON)层;
●含硅膜,其包含交替的SiO和p-Si(OPOP)层;
●含硅膜,其包含掺杂剂,诸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge;
●交替层,其包含以下项的层:氧化硅、氮化硅、多晶硅、结晶硅、SiOCH、SiON、SiaObCcNdHe(其中a>0;b、c、d和e≥0)或它们的组合;
●交替层,其包含氧原子、氮原子、碳原子、氢原子或它们的组合;
●交替层是含硅膜;
●交替层,其包含氧化硅层和氮化硅层;
●交替层,其包含氧化硅和氮化硅的交替层;
●交替层是氧化硅和氮化硅的交替层;
●交替层,其包含氧化硅层和多晶硅层;
●交替层,其包含氧化硅和多晶硅的交替层;
●交替层是氧化硅和多晶硅的交替层;
●硬掩模层,其设置在含硅层上;
●硬掩模层是图案化硬掩模层;
●硬掩模层是无定形碳层、掺杂无定形碳层、光致抗蚀剂层、减反射层、有机平坦化层或它们的组合;
●硬掩模层是以下项的层:CVD、PECVD、ALD、PEALD或旋涂沉积(SOD)无定形碳或掺杂无定形碳、含硅旋涂掩模、或含碳旋涂掩模;
●硬掩模层是无定形碳(a-C)层;
●硬掩模层是掺杂碳层;
●掺杂无定形碳层是硼掺杂a-C层;
●掺杂无定形碳层是钨掺杂a-C层;
●交替层,其选择性地从硬掩模层蚀刻;
●交替层,其选择性地从a-C层蚀刻;
●交替层,其选择性地从掺杂碳层蚀刻;
●氧化硅和氮化硅的交替层,其选择性地从a-C层蚀刻;
●氧化硅和氮化硅的交替层,其选择性地从掺杂碳层蚀刻;
●氧化硅和多晶硅的交替层,其选择性地从a-C层蚀刻;
●氧化硅和多晶硅的交替层,其选择性地从掺杂碳层蚀刻;
●氧化硅层,其选择性地从硬掩模层蚀刻;
●氧化硅层,其选择性地从a-C层蚀刻;
●氧化硅层,其选择性地从掺杂碳层蚀刻;
●氮化硅层,其选择性地从硬掩模层蚀刻;
●氮化硅层,其选择性地从a-C层蚀刻;
●氮化硅层,其选择性地从掺杂碳层蚀刻;
●多晶硅层,其选择性地从硬掩模层蚀刻;
●多晶硅层,其选择性地从a-C层蚀刻;
●多晶硅层,其选择性地从掺杂碳层蚀刻;
●含硅膜,其选择性地从以下项蚀刻:无定形碳层、掺杂无定形碳层、光致抗蚀剂层、减反射层或有机平坦化层;
●氧化硅层,其选择性地从以下项蚀刻:无定形碳层、掺杂无定形碳层、光致抗蚀剂层、减反射层或有机平坦化层;
●含碘蚀刻化合物,其以高蚀刻速率蚀刻氧化硅层和氮化硅层两者;
●含碘蚀刻化合物蚀刻氧化硅层和氮化硅层两者的选择性在从大约1:2至大约2:1的范围内;
●含碘蚀刻化合物,其等离子体蚀刻交替的SiO和SiN(ONON)层,其对于SiO层对比SiN层具有在大约1:2至大约2:1之间的选择性;
●含碘蚀刻化合物,其等离子体蚀刻交替的SiO和SiN(ONON)层,其对于SiO层对比SiN层具有大约1:1的选择性;
●含碘蚀刻化合物蚀刻氧化硅层和氮化硅层两者的选择性是大约1:1;
●含碘蚀刻化合物,其以高蚀刻速率蚀刻氧化硅层和多晶硅层两者;
●含碘蚀刻化合物蚀刻氧化硅层和多晶硅层两者的选择性,其在从大约1:2至大约2:1的范围内;
●该含碘蚀刻化合物等离子体蚀刻该交替的SiO和p-Si(OPOP)层,其相对于该SiO层对比p-Si层具有在大约1:2至大约2:1之间的选择性;
●该含碘蚀刻化合物等离子体蚀刻该交替的SiO和p-Si(OPOP)层,其对于该SiO层对比p-Si层具有大约1:1的选择性;
●含碘蚀刻化合物蚀刻氧化硅层和多晶硅层两者的选择性是大约1:1;
●使用含碘蚀刻化合物蚀刻氧化硅层的蚀刻速率,其高于使用以下传统蚀刻气体蚀刻氧化硅层的蚀刻速率:cC4F8、C4F6、CF4、CH3F、CF3H、CH2F2、或它们的组合;
●使用含碘蚀刻化合物蚀刻氧化硅层的蚀刻速率是大约640nm/min;
●使用含碘蚀刻化合物蚀刻氧化硅层的蚀刻速率,其高于使用传统蚀刻气体cC4F8蚀刻氧化硅层SiO2的蚀刻速率;
●使用含碘蚀刻化合物蚀刻氧化硅层的蚀刻速率,其高于使用传统蚀刻气体C4F6蚀刻氧化硅层SiO2的蚀刻速率;
●使用C4F9I蚀刻氧化硅层的蚀刻速率,其高于使用蚀刻气体cC4F8或C4F6蚀刻氧化硅层SiO2的蚀刻速率,同时加热用于等离子体蚀刻的含碘蚀刻化合物以避免冷凝;
●使用C4F9I蚀刻氧化硅层的蚀刻速率,其高于使用蚀刻气体cC4F8蚀刻氧化硅层SiO2的蚀刻速率,同时加热用于等离子体蚀刻的含碘蚀刻化合物以避免冷凝;
●使用C4F9I蚀刻氧化硅层的蚀刻速率,其高于使用蚀刻气体C4F6蚀刻氧化硅层SiO2的蚀刻速率,同时加热用于等离子体蚀刻的含碘蚀刻化合物以避免冷凝;
●加热用于等离子体蚀刻的含碘蚀刻化合物以避免冷凝;
●在含硅膜中形成的图案化结构具有在大约1:1与大约200:1之间的纵横比;
●加热用于等离子体蚀刻的含碘蚀刻化合物以维持含碘蚀刻化合物的期望流速;
●含碘蚀刻化合物,其从位于待蚀刻的含硅膜的底部的着陆层(landing layer)选择性地蚀刻含硅膜;
●着陆层是位于待蚀刻的结构的底部的掩埋着陆层;
●着陆层是蚀刻终止层;
●着陆层是硅层;
●着陆层是金属层;
●着陆层是3D NAND结构中的钨金属加工线(worldline)和/或其他金属,诸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Nb、Cr、Rh、Pd、Ir、V、Au、Ag或它们的组合;
●着陆层是金属氧化物层或金属氮化物层;
●着陆层是AlO、WO、TiN、或TaN层;
●金属层,其选自W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、或选自AlO、WO、TiN、TaN的蚀刻终止层;
●着陆层是选自以下项的金属氧化物层或金属氮化物层:AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SrN或它们的组合;
●将含氧气体添加至含碘蚀刻化合物;
●含氧气体,其选自由以下项组成的组:O2、O3、CO、CO2、NO、NO2、N2O、SO2、COS、H2O和它们的组合;
●含氧气体是O2;
●在引入腔室之前将含碘蚀刻化合物和含氧气体混合以产生混合物;
●将含碘蚀刻化合物与含氧气体分开地引入;
●将含氧气体连续引入,并将含碘蚀刻化合物引入;
●含氧气体,其占含碘蚀刻化合物和含氧气体的总体积的大约0.01%v/v至大约99.9%v/v;
●含氧气体,其占含碘蚀刻化合物和含氧气体的总体积的大约0.01%v/v至大约10%v/v;
●在引入腔室之前将含碘蚀刻化合物和惰性气体混合以产生混合物;
●将含碘蚀刻化合物与惰性气体分开地引入;
●将惰性气体连续引入,并将含碘蚀刻化合物以脉冲引入;
●惰性气体,其占含碘蚀刻化合物的蒸气和惰性气体的总体积的大约0.01%v/v至大约99.9%v/v;
●惰性气体,其占含碘蚀刻化合物的蒸气和惰性气体的总体积的大约90%v/v至大约99%v/v;
●衬底是Si晶片;
●衬底是晶体硅层;
●形成图案化结构;
●图案化结构是3D NAND孔;
●图案化结构是接触孔洞;
●图案化结构是3D NAND接触孔洞;
●图案化结构是DRAM接点;
●图案化结构是通道孔洞;
●图案化结构是3D NAND通道孔洞;
●图案化结构是3D NAND狭缝接点;
●孔是阶梯式接点;
●孔是自对准接点;
●孔是自对准通孔;
●孔是超通孔;
●进一步包括将附加蚀刻气体引入含碘蚀刻化合物;
●附加蚀刻气体,其选自由以下项组成的组:cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、以及顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)或它们的组合;
●将含碘蚀刻化合物与附加蚀刻气体分开地引入;
●将大约0.01%v/v至大约99.99%v/v的附加蚀刻气体添加至含碘蚀刻化合物;
●通过施加RF功率活化该等离子体;
●通过在从大约25W至大约100,000W的范围内的RF功率将等离子体活化;
●蚀刻压力,其在从大约1毫托至大约10托的范围内;
●蚀刻压力是30毫托;
●以在从大约0.1sccm至大约1slm范围内的流速将含碘蚀刻化合物引入;
●将衬底维持在从大约-196℃至大约500℃的范围内的温度下;
●将衬底维持在从大约-120℃至大约300℃的范围内的温度下;
●将衬底维持在从大约-100℃至大约50℃的范围内的温度下;
●将衬底维持在从大约-10℃至大约40℃的范围内的温度下;以及
●通过四极质谱仪、光学发射光谱仪、FTIR、或其他自由基/离子测量工具在等离子体下来测量含碘蚀刻化合物。
还披露了在衬底中形成图案化结构的同时补强、增强和最小化图案化掩模层的损害的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有具有设置在其上的含硅膜和设置在含硅层上的图案化掩模层的衬底,其中该含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;以及
使用通过活化等离子体所形成的经活化的含碘蚀刻化合物将含硅膜从图案化掩模层蚀刻以形成图案化结构;
其中该经活化的含碘蚀刻化合物产生碘离子,该碘离子被注入图案化硬掩模层中,从而增强该图案化掩模层。所披露的方法可以包括以下方面中的一项或多项:
●含碘蚀刻化合物是含氟烃化合物;
●含碘蚀刻化合物是氟碳化合物;
●含碘蚀刻化合物是有机氟化合物;
●含碘蚀刻化合物是烃化合物;
●经活化的含碘蚀刻化合物产生增强图案化掩模层的碘离子;
●含碘蚀刻化合物是C4F9I、C5F11I、C6F13I、C7F15I、C8F17I、C9F19I、C10F21I或它们的异构体;以及
●含碘蚀刻化合物是C4F9I或其异构体。
还披露了在衬底中形成图案化结构的工艺中,通过用碘掺杂图案掩模层和/或通过用碘改性图案掩模层的表面来增加图案掩模层的抗蚀刻性,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有具有设置在其上的含硅膜和设置在含硅层上的图案化掩模层的衬底,其中该含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;
将等离子体活化以产生能够将碘掺杂进该图案化掩模层中的经活化的含碘蚀刻化合物,从而用碘改性该图案化掩模层以增加该图案化掩模层的抗蚀刻性;以及
将碘离子从该经活化的含碘蚀刻化合物注入该图案化掩模层中同时从该图案化掩模层蚀刻该含硅膜,以形成该图案化结构。所披露的方法可以包括以下方面中的一项或多项:
●含碘蚀刻化合物是含氟烃化合物;
●含碘蚀刻化合物是氟碳化合物;
●含碘蚀刻化合物是烃化合物;
●含碘蚀刻化合物是有机氟化合物;
●含碘蚀刻化合物是C4F9I、C5F11I、C6F13I、C7F15I、C8F17I、C9F19I和C10F21I或它们的异构体;
●含碘蚀刻化合物是C4F9I或其异构体;
●将碘离子从经活化的含碘蚀刻化合物注入图案化掩模层的表面和/或本体同时从衬底蚀刻该含硅膜,以形成图案化结构;
●将碘离子从经活化的含碘蚀刻化合物注入图案化掩模层的表面,同时从衬底蚀刻含硅膜,以形成图案化结构;以及
●将碘离子从经活化的含碘蚀刻化合物注入图案化掩模层的本体同时从衬底蚀刻该含硅膜,以形成图案化结构。
注解和命名
以下详细说明和权利要求书利用了本领域中通常众所周知的许多缩写、符号和术语。虽然定义典型地与每个首字母缩略词的第一个例子一起提供,但是为了方便,表1提供了所使用的缩写、符号和术语连同它们各自的定义的列表。
表1
如本文所使用,不定冠词“一个/种(a或an)”意指一个/种或多个/种。
如本文所使用,在正文或权利要求书中的“约(about)”或“大约(around或approximately)”意指所述值的±10%。
如本文所使用,在正文或权利要求书中的“室温”意指从大约20℃至大约25℃。
术语“环境温度”是指大约20℃至大约25℃的周围温度。
术语“衬底”是指在其上进行工艺的一种或多种材料。衬底可以是指具有在其上进行工艺的一种或多种材料的晶片。衬底可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的任何合适的晶片。衬底还可以具有从先前的制造步骤已经沉积在其上的一个或多个不同材料层。例如,晶片可以包括硅层(例如,结晶的、无定形的、多孔的等)、含硅层(例如,SiO2、SiN、SiON、SiC、SiCN、SiOCN、SiCOH等)、含金属层(例如,铜、钴、钌、钨、锰、铂、钯、镍、钌、金等)或它们的组合。此外,衬底可以是平面的或图案化的。衬底可以是有机图案化的光致抗蚀剂膜。衬底可以包括用作MEMS、3D NAND、MIM、DRAM或FeRam器件应用中的介电材料(例如,基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等)的氧化物层或用作电极的基于氮化物的膜(例如,TaN、TiN、NbN)。本领域普通技术人员将认识到,本文所使用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可为沟槽或线。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为衬底。
术语“晶片”或“图案化晶片”是指在衬底上具有含硅膜的堆叠并且在所形成的含硅膜的堆叠上具有图案化硬掩模层以用于图案蚀刻的晶片。
术语“图案蚀刻”或“图案化蚀刻”是指蚀刻非平面结构,诸如在含硅膜的堆叠上的图案化掩模层。
如本文所用,术语“蚀刻(etch)”或“刻蚀(etching)”意指使用等离子体经由离子轰击、远程等离子体、或蚀刻气体与衬底之间的化学气相反应来移除材料,并且是指各向同性蚀刻工艺和/或各向异性蚀刻工艺。各向同性蚀刻工艺涉及蚀刻化合物与衬底之间的化学反应,从而导致衬底上的部分材料被除去。这种类型的蚀刻工艺包括化学干式蚀刻、气相化学蚀刻、热干式蚀刻等。各向同性蚀刻工艺在衬底中产生横向或水平蚀刻轮廓。各向同性蚀刻工艺在衬底中预先形成的孔的侧壁上产生凹槽或水平凹槽。各向异性蚀刻工艺涉及等离子体蚀刻工艺(即,干式蚀刻工艺),其中离子轰击使垂直方向上的化学反应加速,使得沿着掩蔽特征的边缘以与衬底成直角形成垂直侧壁(Manos和Flamm,Thermal etching anIntroduction[热蚀刻导论],Academic Press,Inc.[学术出版社公司]1989第12-13页)。等离子体蚀刻工艺在衬底中产生垂直蚀刻轮廓。等离子体蚀刻工艺在衬底中产生垂直通孔、孔、沟槽、通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞、狭缝蚀刻、自对准接点、自对准通孔、超通孔等。
术语“掩模”是指抵抗蚀刻的层。该掩模层可位于待蚀刻的层的上方。该掩模层还是指硬掩模层。
术语“蚀刻终止”是指在待蚀刻的层的下方保护下面的层的层。
术语“器件通道”是指是实际器件的一部分的层,并且对其的任何损害将影响器件性能。
术语“纵横比”是指沟槽(或通孔)的高度与沟槽的宽度(或通孔的直径)的比。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率的比率。术语“选择性的蚀刻(selective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同样的R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中M是原子,x是2或3,两个或三个R1基团可以但是不必是彼此相同的或与R2或与R3相同的。此外,应当理解,除非另外特别规定,否则R基团的值在用于不同式中时彼此独立。
在本文中需注意,术语“膜”和“层”可以互换使用。应理解的是,膜可以对应于层或者与层相关,并且层可以是指膜。此外,本领域普通技术人员将认识到,本文所使用的术语“膜”或“层”是指放置或铺展在表面上的一定厚度的某种材料并且该表面可在从与整个晶片一样大至与沟槽或线一样小的范围内。
在本文中需注意,术语“蚀刻化合物”和“蚀刻气体”可以互换使用。应理解的是,蚀刻化合物可以对应于蚀刻气体或者与蚀刻气体相关,并且蚀刻气体可以是指蚀刻化合物。
术语“通孔(via)”、“孔(aperture)”、“沟槽(trench)”、以及“孔洞(hole)”有时可互换使用,并且通常意指层间绝缘体中的开口。
如本文所使用,缩写“NAND”是指“与非("Negated AND"或"Not AND")”门;缩写“2D”是指平面衬底上的2维栅极结构;缩写“3D”是指3维或垂直栅极结构,其中栅极结构在垂直方向上堆叠。
本文中使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳,H是指氢,F是指氟等)。
提供了由化学文摘服务指定的唯一的CAS登记号(即“CAS”)以帮助更好地识别所披露的分子。
请注意,含硅膜诸如氮化硅和氧化硅贯穿本说明书和权利要求书在不提及其适当化学计量学的情况下列出。含硅膜可以包括纯硅(Si)层,诸如晶体Si、多晶硅(p-Si或多晶Si)、或无定形硅;氮化硅(SikNl)层;或氧化硅(SinOm)层;或它们的混合物,其中k、I、m、以及n范围从0.1至6(包括端点)。优选地,氮化硅为SikNl,其中k和I各自范围从0.5至1.5。更优选地,氮化硅为Si3N4。优选地,氧化硅为SinOm,其中n范围从0.5至1.5并且m范围从1.5至3.5。更优选地,氧化硅为SiO2。本文中,以下说明书中的SiN和SiO分别用于表示含有SikNl和SinOm的层。该含硅膜还可能是基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低-k介电材料,诸如应用材料公司(Applied Materials,Inc.)的Black Diamond II或III材料(具有式SiOCH)。含硅膜还可以包括SiaObCcNdHe,其中a、b、c、d、e从0.1至6范围内。含硅膜还可以包括掺杂剂,诸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge。
在本文中范围可以表述为从约一个具体值和/或到约另一个具体值。当表述此种范围时,应理解的是另一个实施例是从一个具体值和/或到另一个具体值、连同在所述范围内的所有组合。
在本文中对“一个实施例”或“实施例”的提及意指关于该实施例描述的特定特征、结构或特性可以包括在本发明的至少一个实施例中。说明书中不同地方出现的短语“在一个实施例中”不一定全部是指同一个实施例,单独的或替代性的实施例也不一定与其他实施例互斥。上述情况也适用于术语“实施”。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在附图中相似元件给予相同或类似的附图标记,并且在附图中:
图1a是3D NAND堆叠中的示例性层的截面侧视图;
图1b是3D NAND堆叠中的示例性层的截面侧视图,示出了在3DNAND堆叠的蚀刻期间沉积在侧壁上的聚合物;
图1c是3D NAND堆叠中的示例性层的截面侧视图,示出了在3DNAND堆叠的交替SiO/SiN层蚀刻期间产生的颗粒;
图1d是3D NAND堆叠中的示例性层的截面侧视图,示出了在3DNAND堆叠中的侧壁上暴露的SiN的选择性蚀刻;
图2是DRAM堆叠的示例性层的截面侧视图;
图3a是示例性层的截面侧视图,示出了在围绕典型的晶体管器件区域的SiO绝缘层之上的产生晶体管结构的光致抗蚀剂图案;
图3b是在蚀刻该SiO绝缘层之后的图3a的示例性层的截面侧视图;
图4是表明用1-C3F7I、O2和Ar的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图5是表明C3F7I的电子碰撞电离能(eV)对比物质浓度(托)的曲线图;
图6是表明用1-C4F9I、O2和Ar的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图7是在a-C衬底上的1-C4F9I深度分布;
图8是在a-C(B)衬底上的1-C4F9I深度分布;
图9是在a-C(W)衬底上的1-C4F9I深度分布;
图10是表明用cC4F8的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;并且
图11是表明用C4F6的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。
具体实施方式
披露了用于在含硅膜中等离子体蚀刻半导体结构的方法,该半导体结构诸如通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞高纵横比结构、3D NAND、狭缝沟槽、自对准接点、自对准通孔、超通孔等。所披露的方法包括以下步骤:i)将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有在衬底上的含硅膜,其中含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;以及ii)将惰性气体引入反应腔室中;以及iii)将等离子体活化以产生能够从衬底蚀刻含硅膜的经活化的含碘蚀刻化合物。在此,惰性气体可以是Ar、Kr、Xe、N2、He或Ne。
如以下实例中所示出的,所披露的含碘蚀刻化合物中的碘离子在低能量(eV)(即,<20eV)下从化合物中解离。其结果是,诸位申请人认为,所披露的蚀刻化合物可用于干式等离子体蚀刻工艺,这些工艺对下面的衬底产生较小的损害,因为它们可以在较低的等离子体能量下进行。例如,所披露的含碘蚀刻化合物可特别适用于其中特别关注损害的低k蚀刻工艺,因为较低的等离子体能量可对蚀刻位点周围的多孔低k材料产生较小的损害。对低k材料的低损害可能是由于由含碘蚀刻化合物所给出的UV光子和F自由基的产生减少。所披露的含碘蚀刻化合物还可防止临界尺寸的损失并降低低k表面粗糙度。另外,具有两个碘原子的分子可以增强这些益处,尽管由于碘原子的大小而失去挥发性。
碘(10.5eV)具有比氟(17.4eV)和惰性气体(Ar(15.8eV)、Xe(12.1eV)和Kr(14eV))更低的电离阈值。因此,碘可以比氟更容易被等离子体电离,并且含碘蚀刻化合物可以增加等离子体密度。作为重元素的碘(具有127amu的质量)也可以表现得类似于等离子体中的惰性气体(诸如Kr,88amu,Xe,131amu)并加速朝向晶片。在高纵横比结构的蚀刻期间,离子的能量随着它们穿透越深而越弱,因此许多离子不能到达沟槽的底部。然而,重的碘离子可以通过在等离子体蚀刻工艺期间所施加的电压加速朝向晶片并深深地穿透,从而允许蚀刻具有高纵横比的深图案结构。氟的电离阈值用于将其保持为中性物质。其结果是,氟典型地通过化学反应参与蚀刻工艺。碘展现出比氟更小的化学反应性并且被电离并在等离子体蚀刻工艺期间轰击表面。C-I的键能也低于C-F的键能,从而导致与C-F键相比,C-I键更容易在等离子体中断裂。因此,可以容易地将碘离子掺杂进a-C掩模层中。由于碘是重元素,因此与更轻的元素相比,碘更容易被注入和深深地穿透在掩模层中。另外,由于C-I键的弱性和碘电离阈值低的事实,含碘蚀刻化合物具有低到可忽略的大气寿命,使得它们成为降低由全氟化碳化合物所引起的全球变暖潜能的良好候选物。
碘离子在低eV(即,<20eV)下从所披露的蚀刻化合物解离,参见以下的对比实例。然而,碘离子注入掩模特征(feature),诸如表面和/或本体(body/bulk)是可能的。该注入可以帮助增强、最小化损害、增加掩模特征的抗蚀刻性并且帮助其在蚀刻工艺期间维持其形状。如以下实例中所示出的,在使用所披露的含碘蚀刻化合物之后,图案掩模的组成被修改。诸位申请人认为,碘离子通过增加与有机掩模层(诸如无定形碳掩模)的交联而改性表面并掺入图案掩模层的本体中。由于碘离子的掺杂以及碳、碘、氢和氟原子之间、并且优选地在碳与碘之间的交联,图案掩模层的密度增加,使得图案掩模层更坚固。在以下的实例中,通过示出当通过含碘蚀刻化合物蚀刻时图案掩模层的蚀刻速率低于当通过传统蚀刻气体(诸如cC4F8和C4F6)蚀刻时的蚀刻速率,已证明归因于碘离子的增强效果和抗蚀刻性改进。
所披露的含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,1≤z≤4。当x=0时,所披露的含碘蚀刻化合物可以不含有任何氢,其具有式CnFyIz,其中4≤n≤10,0≤y≤21,1≤z≤4和y+z≤2n+2。当n=4时,所披露的含碘蚀刻化合物具有式C4FyIz,其中1≤z≤4,y≤10-z。不含H的示例性C4含碘蚀刻化合物包括C4F9I、C5F11I、C6F13I、C7F15I、C8F17I、C9F19I、C10F21I、C4F7I、C5F9I、C6F11I、C7F13I、C8F15I、C9F17I、C10F19I、C4F5I、C5F7I、C6F9I、C7F11I、C8F13I、C9F17I、C10F17I、C4F8I2、C5F10I2、C6F12I2、C7F14I2、C8F16I2、C9F18I2、C10F20I2、C4F6I2、C5F8I2、C6F10I2、C7F12I2、C8F14I2、C9F16I2、C10F18I2、C4F4I2、C5F6I2、C6F8I2、C7F10I2、C8F12I2、C9F14I2、C10F16I2、C4F7I3、C5F9I3、C6F11I3、C7F13I3、C8F15I3、C9F17I3、C10F19I3、C4F4I3、C5F6I3、C6F8I3、C7F10I3、C8F12I3、C9F14I3、C10F16I3、C4F3I3、C5F5I3、C6F7I3、C7F9I3、C8F11I3、C9F13I3、C10F15I3、C4F6I4、C5F8I4、C6F10I4、C7F12I4、C8F14I4、C9F16I4、C10F18I4、C4F4I4、C5F6I4、C6F8I4、C7F10I4、C8F12I4、C9F14I4、C10F16I4、C4F2I4、C5F4I4、C6F6I4、C7F8I4、C8F10I4、C9F12I4、C10F14I4、以及它们的异构体。
示例性的所披露的不含H的含碘蚀刻化合物具有通式:CnF2n+1I,其中4≤n≤10,列于表2中。这些分子是可商购的或可以通过本领域中已知的方法合成。它们的结构式、CAS号和沸点包括在表2中。所披露的不含H的含碘蚀刻化合物,
其具有通式:CnF2n+1I,其中4≤n≤10,还可以包括它们的异构体。
表2
所披露的含碘蚀刻化合物适用于蚀刻含硅膜,该含硅膜包括以下项的层:氧化硅(SiO)、氮化硅(SiN)、纯硅(Si)(诸如晶体Si、多晶硅(p-Si或多晶Si)、或无定形硅)、低-kSiCOH、SiOCN、SiC、SiON、SiaObHcCdNe(其中a>0;b、c、d和e≥0)、或它们的组合。含硅膜还可以包括交替的SiO和SiN(ONON)层或SiO和p-Si(OPOP)层。含硅膜还可以包括掺杂剂,诸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge。
当所披露的含碘蚀刻化合物包括H时,可以提高SiN的蚀刻速率,同时维持对掩模层的高选择性,但不限于此。当x=1时,所披露的具有一个H的含碘蚀刻化合物具有式CnHFyIz,其中4≤n≤10,0≤y≤20,1≤z≤4,并且y+z≤2n+1。示例性化合物包括C4HF8I、C5HF10I、C6HF12I、C7HF14I、C8HF16I、C9HF18I、C10HF20I、C4HF6I、C5HF8I、C6HF10I、C7HF12I、C8HF14I、C9HF16I、C10HF18I、C4HF4I、C5HF6I、C6HF8I、C7HF10I、C8HF12I、C9HF14I、C10HF16I、C4HF7I2、C5HF9I2、C6HF11I2、C7HF13I2、C8HF15I2、C9HF17I2、C10HF19I2、C4HF5I2、C5HF7I2、C6HF9I2、C7HF11I2、C8HF13I2、C9HF15I2、C10HF17I2、C4HF3I2、C5HF5I2、C6HF7I2、C7HF9I2、C8HF11I2、C9HF13I2、C10HF15I2、C4HF6I3、C5HF8I3、C6HF10I3、C7HF12I3、C8HF14I3、C9HF16I3、C10HF18I3、C4HF4I3、C5HF6I3、C6HF8I3、C7HF10I3、C8HF12I3、C9HF14I3、C10HF16I3、C4HF5I4、C5HF7I4、C6HF9I4、C7HF11I4、C8HF13I4、C9HF15I4、C10HF17I4、C4HF3I4、C5HF5I4、C6HF7I4、C7HF9I4、C8HF11I4、C9HF13I4、C10HF15I4、C4HFI4、C5HF3I4、C6HF5I4、C7HF7I4、C8HF9I4、C9HF11I4、C10HF13I4和它们的异构体。
当x=2时,所披露的具有两个氢的含碘蚀刻化合物具有式CnH2FyIz,其中4≤n≤10,0≤y≤19,1≤z≤4,并且y+z≤2n。示例性化合物包括C4H2F7I、C5H2F9I、C6H2F11I、C7H2F13I、C8H2F15I、C9H2F17I、C10H2F19I、C4H2F5I、C5H2F7I、C6H2F9I、C7H2F11I、C8H2F13I、C9H2F15I、C10H2F17I、C4H2F6I2、C5H2F8I2、C6H2F10I2、C7H2F12I2、C8H2F14I2、C9H2F16I2、C10H2F18I2、C4H2F4I2、C5H2F6I2、C6H2F8I2、C7H2F10I2、C8H2F12I2、C9H2F14I2、C10H2F16I2、C4H2F5I3、C5H2F7I3、C6H2F9I3、C7H2F11I3、C8H2F13I3、C9H2F15I3、C10H2F17I3、C4H2F3I3、C5H2F5I3、C6H2F7I3、C7H2F9I3、C8H2F11I3、C9H2F13I3、C10H2F15I3、C4H2F4I4、C5H2F6I4、C6H2F8I4、C7H2F10I4、C8H2F12I4、C9H2F14I4、C10H2F16I4、C4H2F2I4、C5H2F4I4、C6H2F6I4、C7H2F8I4、C8H2F10I4、C9H2F12I4、C10H2F14I4和它们的异构体。
当x=3时,所披露的具有三个氢的含碘蚀刻化合物具有式CnH3FyIz,其中4≤n≤10,0≤y≤18,1≤z≤4,并且y+z≤2n-1。示例性化合物包括C4H3F6I、C5H3F8I、C6H3F10I、C7H3F12I、C8H3F14I、C9H3F16I、C10H3F18I、C4H3F4I、C5H3F6I、C6H3F8I、C7H3F10I、C8H3F12I、C9H3F14I、C10H3F16I、C4H3F5I2、C5H3F7I2、C6H3F9I2、C7H3F11I2、C8H3F13I2、C9H3F15I2、C10H3F17I2、C4H3F3I2、C5H3F5I2、C6H3F7I2、C7H3F9I2、C8H3F11I2、C9H3F13I2、C10H3F15I2、C4H3F4I3、C5H3F6I3、C6H3F8I3、C7H3F10I3、C8H3F12I3、C9H3F14I3、C10H3F16I3、C4H3F2I3、C5H3F4I3、C6H3F6I3、C7H3F8I3、C8H3F10I3、C9H3F12I3、C10H3F14I3、C4H3F3I4、C5H3F5I4、C6H3F7I4、C7H3F9I4、C8H3F11I4、C9H3F13I4、C10H3F15I4、C4H3F1I4、C5H3F3I4、C6H3F5I4、C7H3F7I4、C8H3F9I4、C9H3F11I4、C10H3F13I4和它们的异构体。
当x=4时,所披露的具有四个氢的含碘蚀刻化合物具有式CnH4FyIz,其中4≤n≤10,0≤y≤17,1≤z≤4,并且y+z≤2n-2。示例性化合物包括C4H4F5I、C5H4F7I、C6H4F9I、C7H4F11I、C8H4F13I、C9H4F15I、C10H4F17I、C4H4F3I、C5H4F5I、C6H4F7I、C7H4F9I、C8H4F11I、C9H4F13I、C10H4F15I、C4H4F4I2、C5H4F6I2、C6H4F8I2、C7H4F10I2、C8H4F12I2、C9H4F14I2、C10H4F16I2、C4H4F2I2、C5H4F4I2、C6H4F6I2、C7H4F8I2、C8H4F10I2、C9H4F12I2、C10H4F14I2、C4H4F3I3、C5H4F5I3、C6H4F7I3、C7H4F9I3、C8H4F11I3、C9H4F13I3、C10H4F15I3、C4H4FI3、C5H4F3I3、C6H4F5I3、C7H4F7I3、C8H4F9I3、C9H4F11I3、C10H4F13I3、C4H4F2I4、C5H4F4I4、C6H4F6I4、C7H4F8I4、C8H4F10I4、C9H4F12I4、C10H4F14I4、C4H4I4、C5H4F2I4、C6H4F4I4、C7H4F6I4、C8H4F8I4、C9H4F10I4、C10H4F12I4和它们的异构体。
诸位申请人认为,具有4个或多于4个碳原子的分子可以提供比少于4个碳的分子更好的蚀刻速率和侧壁保护,例如,在蚀刻工艺期间,直的轮廓,没有弯曲,没有锥形,没有扭曲,没有不完全蚀刻,从被蚀刻的结构的顶部至底部的临界尺寸没有变化。诸位申请人认为,具有4个或多于4个碳原子的分子还可以提供比少于4个碳的分子更直的轮廓,没有弯曲、锥形、扭曲和不完全蚀刻、或从被蚀刻的结构的顶部至底部的临界尺寸的变化。对于侧壁保护,碳≥4的分子是优选的,因为诸位申请人认为它们将产生更厚的钝化层。
所披露的含碘蚀刻化合物可以在高纵横比结构(HAR)(诸如具有在从1:1至200:1范围内的纵横比的那些,诸如DRAM和3D NAND结构)中提供对于掩模层、光致抗蚀剂、蚀刻终止层和器件通道材料的高选择性并且没有轮廓变形。可替代地,所披露的含碘蚀刻化合物还可以在接触蚀刻应用中提供对于掩模层或含硅膜(诸如具有在从1:1至200:1范围内的纵横比的那些)的高选择性。
所披露的含碘蚀刻化合物可提供对于宽的蚀刻工艺条件的无限选择性。在此,选择性是指两个不同层的蚀刻速率比。例如,SiO层对比a-C层的选择性是SiO的蚀刻速率除以a-C层的蚀刻速率。所披露的含碘蚀刻化合物可以提供在含硅膜与掩模材料之间的改进的选择性、对于通道区域的更少的损害、改进的临界尺寸均一性和减小的轮廓变形(诸如弯曲、扭曲、锥形、切口、底切),维持从蚀刻结构的顶部至底部的相同临界尺寸和图案高纵横比结构中的电弧。所披露的含碘蚀刻化合物还可以蚀刻穿过p-Si、SiO、和/或SiN的交替层,导致竖直蚀刻轮廓。(即,表明在交替层之间的在从2:1至1:2的范围内的选择性)。所披露的含碘蚀刻化合物等离子体蚀刻交替的SiO和SiN(ONON)层,其对于SiO层对比SiN层具有在大约1:2至大约2:1之间的选择性。优选地,所披露的含碘蚀刻化合物等离子体蚀刻交替的SiO和SiN(ONON)层,其对于SiO层对比SiN层具有大约1:1的选择性。
所披露的含碘蚀刻化合物以大于95%v/v纯度、优选以大于99.99%v/v纯度、并且更优选以大于99.999%v/v纯度提供。所披露的含碘蚀刻化合物含有按体积计小于5%的痕量气体杂质,其中按体积计小于150ppm的杂质气体,诸如N2和/或H2O和/或CO2,包含在所述痕量气态杂质中。优选地,等离子体蚀刻气体中的水含量是按重量计小于20ppm。可通过蒸馏和/或使气体或液体通过适合的吸附剂(诸如分子筛)产生经纯化的产物。
所披露的含碘蚀刻化合物含有小于10%v/v、优选地小于1%v/v、更优选小于0.1%v/v、并且甚至更优选小于0.01%v/v的任何其异构体,其可以通过蒸馏气体或液体以移除异构体来纯化并且可以提供更好的工艺可重复性。
可替代地,所披露的含碘蚀刻化合物可含有在0.01%v/v与99.99%v/v之间的其异构体,特别地当该异构体混合物提供改进的工艺参数或如果目标异构体的分离过于困难或昂贵时。例如,所披露的含碘蚀刻化合物可以包含在大约50%v/v与大约75%v/v之间的1-碘九氟丁烷和在大约25%v/v与大约50%v/v之间的2-碘九氟丁烷以及在大约25%v/v与大约50%v/v之间的碘九氟叔丁烷。该异构体的混合物还可减少对于两个或更多个通向该反应腔室的气体管线的需要。
一些所披露的含碘蚀刻化合物在室温和大气压力下是气态的。对于非气态(即,液体或固体)化合物,可以通过经由常规的汽化步骤,诸如直接汽化或通过用惰性气体(诸如N2、Ar、He)鼓泡使这些化合物汽化来产生它们的气体形式。这些非气态化合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。
所披露的含碘蚀刻化合物适用于在含硅膜中等离子体蚀刻半导体结构(诸如,通道孔洞、栅极沟槽、阶梯式接点、狭缝、电容器孔洞、接触孔洞、自对准接点、自对准通孔、超通孔等)。所披露的含碘蚀刻化合物不仅与当前可获得的掩模材料相容,而且与未来几代掩模材料相容,因为所披露的含碘蚀刻化合物对掩模连同高纵横比结构的良好轮廓引起极少损害或没有损害。换言之,所披露的含碘蚀刻化合物可产生具有最小至没有弯曲、图案坍塌或粗糙度的垂直的蚀刻图案。为了实现这些特性,所披露的含碘蚀刻化合物可以在蚀刻期间沉积抗蚀刻聚合物层,以帮助减少在蚀刻工艺期间的氧和氟自由基的直接影响。所披露的含碘蚀刻化合物还可以减少在蚀刻期间对p-Si或结晶Si通道结构的损害。
所披露的含碘蚀刻化合物从掩埋着陆层或材料选择性地蚀刻含硅层,该掩埋着陆层或材料在大多数应用中是位于待蚀刻的结构的底部的金属层。所披露的含碘蚀刻化合物不蚀刻金属着陆层。掩埋着陆层可以是蚀刻终止层或扩散阻挡层。金属着陆层的材料可以是在3D NAND结构中的钨金属加工线和/或另一种金属(诸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它们的组合)和/或蚀刻终止层诸如金属或金属氧化物或氮化物层(诸如AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN或它们的组合等)。所披露的含碘蚀刻化合物对在以下项的底部的材料引起极少损害或没有损害:接触空洞、阶梯式和狭缝(诸如在3DNAND结构中的钨金属加工线和/或其他金属,诸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它们的组合等)和/或蚀刻终止层诸如金属或金属氧化物或氮化物层(AlO、WO、HfO、TiO、TaO、InO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SnN或它们的组合等)。本领域的普通技术人员将认识到,阻挡层也可以由先前所提及的金属和金属氧化物和氮化物的组合组成,此阻挡层是Ti/TiN、Ti/TiN/Ti、TiZrN、Ta/TaN、TaN/Cu/TaN、TaN/Ru/TaN等。阻挡也可以包含硅化物(诸如TiSiN、TaSiN、TiSi2、MnSiO等)、磷化物(诸如CuWP、NiMoP、NiP等)、碳化物(诸如TaC、TaCN、WCN等)、硼化物(诸如NiMoB、NiB等)或它们的组合。优选地,所披露的含碘蚀刻化合物在用于递送到该反应器/腔室中的蚀刻工艺期间是适当地挥发性的且稳定的。
材料相容性测试对于确定是否任何所披露的含碘蚀刻化合物将与腔室材料反应并且降低具有短期或长期用途的腔室的性能是重要的。在腔室、阀等的部件中涉及的关键材料包括不锈钢、铝、镍、PCTFE、PVDF、PTFE、PFA、PP、kalrez、viton和其他金属和聚合物。有时,这些材料暴露于高温(例如高于20℃)和高压(例如高于1atm),这可增强其降解。计量方法可以包括目视检查、重量测量、测量扫描电子显微术(SEM)中的纳米尺度变化、拉伸强度、硬度等。
所披露的含碘蚀刻化合物可用于等离子体蚀刻在衬底上的含硅膜。所披露的等离子体蚀刻方法可以在制造半导体器件,诸如NAND或3DNAND栅极或者闪存或DRAM存储器或晶体管,诸如鳍形场效应晶体管(FinFET)、全环绕栅极(GAA)-FET、纳米线-FET、纳米片-FET、叉片-FET、互补FET(CFET)、块状互补金属氧化物半导体(块状CMOS)、MOSFET、完全耗尽型绝缘体上硅(FD-SOI)结构上是有用的。所披露的含碘蚀刻化合物可用于其他应用领域,诸如不同的前段制程(FEOL)和后段制程(BEOL)蚀刻应用。此外,所披露的含碘蚀刻化合物还可以用于在3D硅通孔(TSV)蚀刻应用中蚀刻Si用于将存储器与在衬底上和MEMS应用中的逻辑电路互相连接。
该等离子体蚀刻方法包括提供具有衬底安置在其中的反应腔室。反应腔室可以是其中进行蚀刻方法的器件内的任何附件或腔室,诸如并且不限于反应性离子蚀刻(RIE)、具有单一或多个频率RF源的电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、或微波等离子体反应器,或能够选择性地移除含硅膜的一部分或产生活性物质的其他类型的蚀刻系统。本领域普通技术人员将认识到,不同的等离子体反应腔室设计提供不同的电子温度控制。合适的可商购的等离子体反应腔室包括但不限于以商标eMAXTM出售的应用材料公司(Applied Materials)磁增强的反应性离子蚀刻器或以商标FlexTM出售的拉姆研究公司(Lam Research)双重CCP反应性离子蚀刻器介电蚀刻产品家族。以这样的等离子体反应腔室中的RF功率可以被脉冲化以控制等离子体特性,并且从而进一步改进蚀刻性能(选择性和损害)。
可替代地,等离子体处理过的反应物可以在反应腔室外产生。MKS仪器公司的反应性气体产生器可以用于在通入反应腔室之前处理反应物。在2.45GHz、7kW等离子体功率和范围从近似0.5托至近似10托的压力下操作,反应物O2可分解成两个O●自由基。优选地,可以用范围从约1kW至约10kW、更优选地从约2.5kW至约7.5kW的功率产生远程等离子体。
反应腔室可含有一个或多于一个衬底。例如,反应腔室可以含有从1至200个具有从25.4mm至450mm的直径的硅晶片。衬底可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的任何适合的衬底。合适的衬底的实例包括晶片,诸如硅、二氧化硅、玻璃、Ge、SiGe、GeSn、InGaAs、GaSb、InP、或GaAs晶片。从先前的制造步骤,晶片将具有在其上的多个膜或层,包括含硅膜或层。这些层可以是图案化的或可以不是图案化的。合适的层的实例包括但不限于硅(诸如无定形硅、p-Si、晶体硅、其中任一个可以进一步用B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge进行p-掺杂或n-掺杂)、二氧化硅、氮化硅、氧化硅、氧氮化硅、SiaObHcCdNe(其中a>0);b、c、d、e≥0)、Ge、SiGe、GeSn、InGaAs、GaSb、InP;掩模层材料诸如具有或不具有掺杂剂的无定形碳、减反射涂层、光致抗蚀剂材料、金属氧化物(诸如AlO、TiO、HfO、ZrO、SnO、TaO等)或金属氮化物层(诸如AlN、ZrN、SnN、HfN、氮化钛、氮化钽等)或它们的组合;蚀刻终止层材料(诸如氮化硅、多晶硅、晶体硅、碳化硅、SiON、SiCN或它们的组合)、器件通道材料(诸如晶体硅、外延硅、掺杂硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0))或它们的组合。该氧化硅层可以形成介电材料,诸如基于有机物或基于氧化硅的低k介电材料(例如,多孔SiCOH膜)。示例性的低k介电材料以商品名Black Diamond II或III由应用材料公司出售。此外,可以使用包含钨或贵金属(例如铂、钯、铑或金)的层。此外,这些含硅膜的实例可以是SiaObHcCdNe(其中a>0;b、c、d、e≥0)。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为衬底。
以下是可以将所披露的含碘蚀刻化合物施加在其上进行蚀刻的衬底的示例性实施例。
在一个实施例中,衬底100可以包括多个层的堆叠,如图1a中示出的。图1a是产生3D NAND栅极的3D NAND堆叠中的示例性层的截面侧视图。在图1a中,七个交替的SiO/SiN(即,104a/104b)层的堆叠104位于硅晶片102的顶部上(即,ONON或TCAT技术)。在一些应用中,晶片102可以是掩埋着陆层或材料,诸如在3D NAND结构中的钨金属加工线和/或另一种金属(诸如W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Pd、Ir、Nb、Cr、Rh、V、Au、Ag或它们的组合等)和/或蚀刻终止层或扩散阻挡层诸如金属或金属氧化物或氮化物层(AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN或它们的组合等)。本领域的普通技术人员将认识到,阻挡层也可以由先前所提及的金属和金属氧化物和氮化物的组合组成,此阻挡层是Ti/TiN、Ti/TiN/Ti、TiZrN、Ta/TaN、TaN/Cu/TaN、TaN/Ru/TaN等。阻挡也可以包含硅化物(诸如TiSiN、TaSiN、TiSi2、MnSiO等)、磷化物(诸如CuWP、NiMoP、NiP等)、碳化物(诸如TaC、TaCN、WCN等)、硼化物(诸如NiMoB、NiB等)或它们的组合。本领域的普通技术人员将认识到一些技术用p-Si层替换SiN层104b(例如,SiO/p-Si或P-BICS技术)。硬掩模层106位于七个SiO/SiN层104的顶部上。硬掩模层106可以是具有或不具有掺杂剂的无定形碳(a-C)硬掩模层。硬掩模层106可以是以下项的层:CVD、PECVD、ALD、PEALD或旋涂沉积(SOD)无定形碳或掺杂无定形碳、含硅旋涂掩模、或含碳旋涂掩模。硬掩模层106可以含有C和H以及其他元素,诸如硼、氮、硫、氯、氟或金属(Al、Zr、Ti、W、Y)等,以改进在SiO/SiN层蚀刻期间的抗蚀刻性。在该情况下,硬掩模层106是掺杂a-C层,例如,硼掺杂a-C层、钨掺杂a-C层等。减反射涂层108位于硬掩模层106的顶部上。图案化的光致抗蚀剂层110位于减反射涂层108的顶部上。在此,在减反射涂层108与硬掩模层106之间可以存在SiON层(未示出),以将光致抗蚀剂层110中的图案转移至硬掩模层106以形成图案化硬掩模层。本领域的普通技术人员将认识到,图1a中的衬底100中的层的堆叠仅出于示例性目的而提供,并且所披露的含碘蚀刻化合物可用于蚀刻其他类型的层的堆叠。此外,本领域的普通技术人员将认识到,衬底100的堆叠中的交替的SiO/SiN或SiO/p-Si层104的数目可以变化(即,可以包括多于或少于所描绘的七个SiO/SiN(104a/104b)层)。
图1b是图1a的3D NAND堆叠中的示例性层的截面侧视图,示出了通过蚀刻沉积在侧壁上的聚合物。所披露的含碘蚀刻化合物可以在等离子体工艺期间产生适合于各向异性蚀刻含硅膜104并且在正被蚀刻的结构的侧壁上沉积含I聚合物钝化层212两者的碎片,如图1b中示出的。在图1b与图1a之间的差异是,在图1b中,通孔214通过使用所披露的含碘蚀刻化合物的等离子体蚀刻而在衬底100中形成,这些化合物还将聚合物钝化层212沉积在通孔214的侧壁上。聚合物钝化层212还在通孔214的底部处提供了更平滑的侧壁、较少的弯曲和较少的变形。然而,聚合物钝化层212可以通过本领域已知的干式或湿式蚀刻化学过程容易地移除或清洁。
图1c是图1a的3D NAND堆叠中的示例性层的截面侧视图,示出了在3D NAND堆叠中的交替SiO/SiN层蚀刻期间产生的颗粒316。如图1c中示出的,在交替的SiO/SiN(即,104a/104b)层104的侧壁上产生的颗粒316可以通过使用所披露的含碘蚀刻化合物来最小化。在图1c与图1b之间的差异是,在图1c中,交替的SiO/SiN暴露的侧壁具有在等离子体蚀刻期间产生的颗粒316。诸位申请人不认为所披露的含碘蚀刻化合物将产生图1c中示出的颗粒316。
图1d是图1a的3D NAND堆叠中的示例性层的截面侧视图,示出了在各向异性蚀刻工艺之后在3D NAND堆叠中的侧壁上暴露的SiN层104b的选择性的各向同性蚀刻。堆叠100中的SiN暴露的侧壁可以通过以下方式选择性地蚀刻,如图1d中示出的:使用所披露的含碘蚀刻化合物来选择性地破坏SiN层104b中的Si-N键(越过SiO层104a中的Si-O键),在通孔214中的SiO/SiN层104的堆叠上形成选择性侧壁SiN蚀刻418。在图1d与图1b之间的差异是,在图1d中,通过所披露的含碘蚀刻化合物来选择性地蚀刻交替的SiO/SiN侧壁上暴露的SiN,形成选择性侧壁SiN蚀刻418。典型地,选择性侧壁SiN蚀刻418通过使用与磷酸的混合物的湿式蚀刻来进行。已知用干式等离子体蚀刻工艺替换湿式蚀刻工艺来大大改进半导体器件制造工艺的经济性,因为湿式蚀刻要求将衬底移动至不同的湿式蚀刻设备。用所披露的方法,可以在一件蚀刻设备中进行包括图1d的选择性侧壁SiN蚀刻的所有蚀刻,这可以减少半导体制造的成本。
在替代性实施例中,衬底100可以包括在其上的多个层的堆叠,如图2中示出的。图2是产生DRAM存储器的DRAM堆叠中的示例性层的截面侧视图。在图2中,四层的堆叠位于硅晶片102的顶部上。硬掩模层106位于大SiO层104a的顶部上。减反射涂层108位于硬掩模层106的顶部上。图案光致抗蚀剂层110位于减反射涂层108的顶部上。在减反射涂层108与硬掩模层106之间可以存在SiON层(未示出),以将光致抗蚀剂层110中的图案转移至硬掩模层106中。本领域的普通技术人员将认识到,图2中的层的堆叠仅出于示例性目的而提供,并且所披露的含碘蚀刻化合物可以用于蚀刻其他层的堆叠,例如,对于其中用TiN层替换硬掩模层106的堆叠。此外,本领域的普通技术人员将认识到,该堆叠中的层数可以变化(即,可以包括多于或少于所描绘的层)。
图3a是示例性层的截面侧视图,示出了在围绕典型的晶体管器件区域的SiO绝缘层上的产生晶体管结构的光致抗蚀剂图案。如图3a中示出的,衬底600可以包括围绕支撑在硅晶片602上的晶体管栅极电极区域的四层的堆叠。图3a中示出的晶体管区域包括充当源极和漏极的两个掺杂的硅区域606。晶体管栅极电介质614存在于栅极电极616下面。整个晶体管(即,晶体管栅极电介质614和栅极电极616)被薄的SiN层608围绕,该SiN层可以稍后在接触蚀刻期间充当蚀刻终止层。每个晶体管器件区域616/606被硅晶片602中的SiO隔离区域604分开以使电干扰最小化。本领域的普通技术人员将认识到,层602可以位于绝缘体上硅(SOI)晶片的氧化硅层的顶部上。另一个SiO层610被沉积在晶体管上并用于使任何金属接点与晶体管器件区域606绝缘。使用光致抗蚀剂掩模612来图案化SiO层610。在等离子体环境中使用所披露的含碘蚀刻化合物进行蚀刻。光致抗蚀剂掩模612充当蚀刻SiO层610的模板,并且在SiN层608上停止蚀刻,如图3b中示出的。
图3b是在蚀刻该SiO绝缘层之后的图3a的示例性层的截面侧视图。在图3b与图3a之间的差异是,在图3b中,通过经由所披露的含碘蚀刻化合物的蚀刻在SiO层610中形成通孔718。SiO层610可以用光致抗蚀剂层612作为掩模层进行蚀刻。该掩模层可以是任何合适的光致抗蚀剂掩模材料,诸如TiN、a-C等。可以在下面的SiN层608处停止该蚀刻。
所披露的含碘蚀刻化合物还可以用于用不同的等离子体条件和不同的混合物来蚀刻SiN层608。本领域的普通技术人员将认识到,图3a和图3b中的层的堆叠和几何形状仅出于示例性目的而提供,并且所披露的含碘蚀刻化合物可用于蚀刻其他类型的层的堆叠。此外,本领域的普通技术人员将认识到,该堆叠中的层数可以变化(即,可以包括多于或少于所描绘的四个层)。
将所披露的含碘蚀刻化合物的蒸气引入含有该衬底和含硅膜的反应腔室中。可以以在从大约0.1sccm至大约1slm范围内的流速将该蒸气引入该腔室中。例如,对于200mm晶片尺寸,可以以在从大约5sccm至大约50sccm范围内的流速将该蒸气引入该腔室中。可替代地,对于450mm晶片尺寸,可以以在从大约25sccm至大约250sccm范围内的流速将该蒸气引入该腔室中。本领域普通技术人员将认识到,流速可以随工具不同而不同。
所披露的含碘蚀刻化合物可以以纯净形式或与惰性气体(诸如N2、Ar、Kr、Ne、He、Xe等)或溶剂的共混物供应。所披露的含碘蚀刻化合物可以是以变化浓度存在于该共混物中。对于液体含碘蚀刻化合物,可通过经由常规的汽化步骤(诸如直接汽化)使纯净的或共混的含碘蚀刻化合物溶液汽化或通过鼓泡来产生这些含碘蚀刻化合物的蒸气形式。这些纯净的或共混的含碘蚀刻化合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。
可替代地,可通过将载气传送至含有所披露的含碘蚀刻化合物的容器内或通过将载气鼓泡进所披露的含碘蚀刻化合物内使这些纯净的或共混的含碘蚀刻化合物汽化。载气可以包括但不限于Ar、He、N2、Kr、Xe、Ne和它们的混合物。用载气鼓泡也可以除去在纯净的或共混的含碘蚀刻化合物溶液中存在的任何溶解氧。然后将载气和所披露的含碘蚀刻化合物作为蒸气引入反应器中。
必要时,含有所披露的含碘蚀刻化合物的容器可以被加热到允许这些含碘蚀刻化合物处于液相并且具有用于递送到蚀刻工具中的足够的蒸气压的温度。可将容器维持在例如大约0℃至大约150℃、优选从大约25℃至大约100℃、更优选从大约25℃至大约50℃的范围内的温度下。更优选地,将该容器维持在室温(大约25℃)下以便避免加热通向蚀刻工具的管线。本领域技术人员认识到可以按已知方式调节该容器的温度以控制汽化的含碘蚀刻化合物的量。
此外,这些含碘蚀刻化合物以在按体积计从95%至99.999%的范围内的纯度递送,并且可以用移除CO、CO2、N2、H2O、HF、H2S、SO2、卤化物和其他烃或氢卤烃的已知的标准纯化技术来纯化。
还将惰性气体引入该反应腔室中以便维持该等离子体。该惰性气体可以为He、Ar、Xe、Kr、Ne、N2、He或它们的组合。在引入该腔室中之前,可以混合该蚀刻气体和该惰性气体,其中该惰性气体占所得混合物的在近似0.01%v/v与近似99.9%v/v之间。可替代地,可将该惰性气体连续地引入该腔室中,而以脉冲形式将该蚀刻气体引入该腔室中。
所披露的蚀刻气体的蒸气和惰性气体被等离子体活化以产生经活化的蚀刻气体。该等离子体将该蚀刻气体分解成自由基形式(即,经活化的蚀刻气体)。等离子体可通过施加RF或DC功率产生。等离子体可用在从约25W至约100,000W范围内的RF功率产生。等离子体可以远程地或在反应器本身内产生。等离子体可以以双重CCP或ICP模式在两个电极处施加的RF下产生。等离子体的RF频率可在从100KHz至1GHz的范围内。可在同一电极处耦合且施加不同频率的不同RF源。等离子体RF脉冲可进一步用于控制衬底处的分子碎片和反应。本领域技术人员应认识到适用于此类等离子体处理的方法和设备。
四极质谱仪(QMS)、光学发射光谱仪、FTIR或其他自由基/离子测量工具可测量来自腔室排气的经活化的蚀刻气体以确定所产生的物质的类型和数目。必要时,可调节蚀刻气体和/或惰性气体的流速以增加或减少所产生的自由基物质的数量。
所披露的蚀刻气体可在引入反应腔室中之前或在该反应腔室内与其他气体混合。优选地,可以在引入腔室中之前将这些气体混合,以便提供均匀浓度的进入气体。
在另一个替代方案中,可以将该含碘蚀刻化合物的蒸气独立于其他气体引入该腔室中,诸如当两种或更多种气体反应或更容易单独递送时。
在另一个替代方案中,该蚀刻气体和该惰性气体是在蚀刻工艺期间使用的仅有的两种气体。
示例性其他气体包括但不限于氧化剂诸如O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及它们的组合。所披露的蚀刻气体和氧化剂可在引入反应腔室中之前混合在一起。
可替代地,可向该腔室中连续地引入氧化剂且向该腔室中以脉冲形式引入蚀刻气体。该氧化剂可占引入腔室中的混合物的在近似0.01%v/v至大约99.99%v/v之间(其中99.99%v/v表示针对连续引入替代方案的几乎纯氧化剂的引入)。
所披露的含碘蚀刻化合物可以与其一起使用的其他示例性气体包括附加蚀刻气体,诸如cC4F8、C4F8、cC5F8、C5F8、C4F6、CF4、CH3F、CF3H、CH2F2、C3HF7、C3F6、C3H2F6、C3H2F4、C3H3F5、C4HF7、C5HF9、C3F6、C3F8、CF3I、C2F3I、C2F5I、C3F7I、1-碘七氟丙烷(1-C3F7I)、2-碘七氟丙烷(2-C3F7I)、C3HF7、COS、FNO、F-C≡N、CS2、SO2、SF6、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、以及顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)或它们的组合。例如,大约1%v/v至大约25%v/v的所披露的含碘蚀刻化合物可以与余量的C4F6或cC4F8一起使用。如以下实例中所示,所披露的含碘蚀刻化合物与常规蚀刻气体的组合可导致增加的蚀刻速率,同时保持衬底和与所披露的含碘蚀刻化合物相关联的待蚀刻层之间的较高选择性。
在引入该反应腔室中之前可以将所披露的含碘蚀刻化合物的蒸气和该蚀刻气体混合。该附加的蚀刻气体可占引入腔室中的混合物的在近似0.01%v/v与近似99.99%v/v之间。
在一种非限制性示例性等离子体蚀刻工艺中,使用受控制的气流器件将所披露的含碘蚀刻化合物的蒸气(诸如C4F9I)引入200mm的双重CCP等离子体蚀刻工具中。该受控制的气流器件可以是质量流量控制器或者鼓泡器设计,其中惰性气体流递送所希望分子的蒸气。在高沸点分子的情况下,可以使用来自布鲁克斯自动化公司(Brooks Automation)(编号GF120XSD)、MKS仪器公司(MKS Instruments)等的特定的低压降质量流量控制器。将该反应腔室的压力设定在大约30毫托下。如果蒸气压力足够,则不需要气源加热。将两个CCP电极之间的距离保持在1.35cm,并且将顶部电极RF功率固定在750W。改变底部电极RF功率以分析分子的性能。该反应腔室含有在其上具有含硅膜的衬底,类似于图1a中示出的那些。通过氟碳化合物(例如,CF4和CH2F2)和含氧气体(例如,O2)对减反射涂层108进行图案化/蚀刻。通过含氧气体对无定形碳掩模层进行图案化/蚀刻。通过所披露的含碘蚀刻化合物(例如,C4F9I)和氩气的等离子体对SiO和SiN层104进行图案化。将氩气以250sccm的流速独立地引入该腔室中。将C4F9I以15sccm独立地引入该腔室中。将O2独立地引入该腔室中并且从0sccm变化至20sccm以确定最佳的蚀刻条件。产生了具有等于或大于10:1的纵横比的孔,其可以用作3D NAND中的通道孔洞或接触孔洞或阶梯式蚀刻、狭缝蚀刻。对于如图2和图3a中示出的其他堆叠层,可以使用类似的实例。
在另一种非限制性示例性等离子体蚀刻工艺中,使用受控制的气流器件将C4F9I引入200mm的双重CCP等离子体蚀刻工具中。该受控制的气流器件可以是质量流量控制器。在高沸点分子的情况下,可以使用来自布鲁克斯自动化公司(Brooks Automation)(编号GF120XSD)、MKS仪器公司(MKS Instruments)等的特定的低压降质量流量控制器。将该反应腔室的压力设定在大约30毫托下。如果蒸气压力足够,则不需要气源加热。将两个CCP电极之间的距离保持在1.35cm,并且将顶部电极RF功率固定在750W。改变底部电极RF功率以分析C4F9I的性能。该反应腔室含有在其上具有厚SiO层104a的衬底100,类似于图2中示出的层。在此工艺之前,通过氟碳化合物(例如CF4)和含氧气体(例如O2)移除减反射涂层108,并且通过含氧气体移除A-c掩模层106。将氩气以250sccm的流速独立地引入该腔室中。将C4F9I以15sccm独立地引入该腔室中。将O2以0-20sccm独立地引入该腔室中以确定最佳的蚀刻条件。产生了具有等于或大于10:1的纵横比的孔,其可以用作DRAM中的接触孔洞。对于如图1a和图3a中示出的其他堆叠层,可以使用类似的实例。
含硅膜和经活化的含碘蚀刻气体反应以形成挥发性副产物,将这些副产物从反应腔室中移除。该a-C掩模、减反射涂层和光致抗蚀剂层与经活化的蚀刻气体的反应性较小。因此,该经活化的蚀刻气体选择性地与含硅膜反应以形成挥发性副产物。
使反应腔室内的温度和压力保持在适用于含硅膜与经活化的蚀刻气体反应的条件下。例如,根据蚀刻参数,可使腔室中的压力保持在近似0.1毫托与近似1000托之间、优选在近似1毫托与近似10托之间、更优选在近似10毫托与近似1托之间、并且更优选在近似10毫托与近似100毫托之间。同样地,腔室中的衬底温度可在大约-196℃至大约500℃之间、优选在大约-120℃至大约300℃之间、更优选在大约-100℃至大约50℃之间;并且更优选在大约-10℃至大约40℃之间的范围内。腔室壁温度可取决于工艺要求在从近似-196℃至近似300℃的范围内。
在含硅膜与经活化的蚀刻气体之间的反应导致从衬底各向异性移除含硅膜。氮、氧和/或碳的原子也可存在于该含硅膜中。移除归因于由等离子体离子物理溅射含硅膜(通过等离子体加速)和/或通过等离子体物质的化学反应将Si转化成挥发性物质,诸如SiFx,其中x在从1-4的范围内。
所披露的含碘蚀刻化合物的等离子体活化的蒸气优选地展现出对掩模的高选择性并且蚀刻穿过SiO和SiN的交替层,导致垂直的蚀刻轮廓,而没有弯曲或粗糙度,这对于3DNAND应用是重要的。此外,等离子体活化的蒸气将聚合物沉积在侧壁上以使特征轮廓变形最小化。对于其他应用,诸如DRAM和2D NAND,例如,在不同工艺条件下的等离子体活化的蚀刻气体可以从SiN选择性地蚀刻SiO。等离子体活化的蚀刻气体可以从以下项选择性地蚀刻SiO和/或SiN:掩模层,诸如a-C、光致抗蚀剂、p-Si、或碳化硅;或金属接触层,诸如Cu、W、Ru等;或由SiGe或多晶硅区域组成的通道区域。
所披露的使用所披露的含碘蚀刻化合物作为蚀刻气体的蚀刻工艺在含硅膜中产生了通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞、接触蚀刻、狭缝蚀刻、自对准接点、自对准通孔、超通孔等。所得孔可以具有在从大约10:1至大约200:1范围内的纵横比和在从大约5nm至大约500nm范围内、优选地小于100nm的直径。例如,本领域的普通技术人员将认识到,通道孔洞蚀刻在含硅膜中产生具有大于50:1的纵横比的孔。
需要被蚀刻的典型材料可以是SiO。蚀刻SiO的工艺可能与在硼磷硅酸盐玻璃(BPSG)、原硅酸四乙基酯(TEOS)或低沉积速率TEOS(LDTEOS)中的蚀刻沟槽有关。蚀刻终止层可以是氮化硅或氮氧化硅(SiON)或多晶硅。所使用的掩模材料可以是a-C、p-Si、或光致抗蚀剂材料。在此,所披露的含碘蚀刻化合物被施加以蚀刻SiO、SiN、p-Si和/或a-C衬底膜。
如以下实例中所示,用所披露的含碘蚀刻化合物对SiO、SiN、p-Si和a-C膜进行干式蚀刻的评估示出,与现有技术的氟碳化合物相比,含碘HFC产生氧化硅相对于无定形碳、氮化硅和p-Si的最高的选择性。高选择性的原因可能归因于在蚀刻气体的等离子体解离期间形成低F/C含碘碎片,这导致在衬底上形成保护性聚合物膜。此外,碘被电离并用类似于诸如Ar、Kr和Xe的惰性气体的重I离子轰击表面。此外,碘电离原子通过掺杂有机硬掩模并改进其抗蚀刻性来改性有机硬掩模的表面,以便有利于高纵横比蚀刻。经活化的含碘蚀刻化合物产生碘离子,该碘离子增强图案化掩模层,从而在衬底中形成图案化结构的同时补强并最小化对图案化掩模层的损害。在此呈现的蚀刻气体结果示出,它们不仅准备好用于图案化结构蚀刻工艺,诸如接触蚀刻工艺,而且可能对于在含硅或含金属的衬底上的其他蚀刻工艺(包括低k蚀刻工艺)是有益的。另外,在通过用所披露的含碘蚀刻化合物蚀刻来形成图案化结构的工艺中,可以通过将碘掺杂至图案掩模层的表面来增加图案掩模层的抗蚀刻性,从而改性表面。在该情况下,将碘离子从经活化的含碘蚀刻化合物注入图案化掩模层(即,图案化掩模层的表面和/或本体(body/bulk)同时从衬底蚀刻含硅膜,以形成图案化结构。另外,所披露的含碘蚀刻化合物适用于降低由全氟化碳化合物所引起的全球变暖潜能。
实例
提供以下非限制性实例来进一步说明本发明的实施例。然后,这些实例不旨在都是包括所有实例,并且也不旨在限制本文所述发明的范围。
在以下实例中,用市售LAM工具4520XLe 200mm(CCP双重频率等离子体)或可替代地用市售AMEC 300mm Primo SSC HD-RIE蚀刻器进行实验。平面晶片购自Advantive Tech.公司。所测试的平面晶片是以下的四个不同衬底。
在Si衬底上的2um PECVD TEOS(SiO);
在Si衬底上的2um PECVD Si3N4(SiN);
在Si衬底上的300nm LPCVD多晶硅(多晶-Si);以及
在Si衬底上的350 PECVD无定形碳(a-C)。
对于平面测试,使用椭偏仪和/或扫描电子显微镜(SEM)通过测量作为蚀刻时间的函数的蚀刻厚度的变化来测量蚀刻速率(ER)。在具有以上所列的四种不同衬底材料(包括SiO、SiN、p-Si和a-C)的四个1.5×1.5cm2试样上进行蚀刻实验。将试样放置在200mm直径的载体晶片上,并通过使用硅油或导热膏(thermal paste)保持接触。可替代地,可以使用从2spi制造商获得的双面碳带将试样粘贴在载体晶片上。
蚀刻测试在30毫托的压力、750W(27MHz)的源功率、1500W(2MHz)的偏压功率、以及20℃温度下进行。进料混合物含有250sccm的Ar、15sccm的蚀刻气体,而O2在0至20sccm范围内变化。
为了证明可重复性,各蚀刻测试已经重复至少三次。三次测量的平均值的标准偏差在图表中示出为误差条。随后,通过X射线光电子能谱法(XPS)研究了聚合物组成。
对比实例
图4是表明用1-C3F7I(CAS号754-34-7)、O2和Ar的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图4中,y轴表示蚀刻速率;x轴是以sccm为单位的O2流速;将1-C3F7I流速固定在15sccm,将Ar固定在250sccm,而O2流速从0变化至20sccm。当O2流速从0升高至20时,观察到成反比的趋势:SiO2 ER随着O2流速的增加而降低,而SiN、p-Si和a-C ER随着O2流速的增加而增加。因此,当没有氧流动时,示出最高的选择性(意指在SiO ER与其他材料ER速率之间的比率)。在0sccm的O2下,SiO2/a-C选择性是38.5,SiO2/p-Si选择性是22,并且SiO2/SiN选择性是11。
图5是表明C3F7I的电子碰撞电离能(eV)对比物质浓度(托)的曲线图。在10与20eV之间产生的优势碎片是CF3、C3F7、和I。
实例1
图6是表明用1-C4F9I、O2和Ar的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图6中,y轴表示蚀刻速率;x轴是以sccm为单位的O2流速;将1-C4F9I流速固定在15sccm,将Ar固定在250sccm,而O2流速从0变化至20sccm。
当O2流速从0升高至20时,观察到成反比的趋势:SiO2 ER随着O2流速的增加而降低,而SiN、p-Si和a-C ER随着O2流速的增加而增加。因此,当没有氧流动时,示出最高的选择性(意指在SiO ER与其他材料ER速率之间的比率)。在0sccm的O2下,SiO2/a-C选择性是71,SiO2/p-Si选择性是47,并且SiO2/SiN选择性是17。与图4中所示出的1-C3F7I的结果相比,相对于所测试的所有其他材料,1-C4F9I在SiO2 ER和SiO2的选择性两方面均优于1-C3F7I。
由于C-I更弱的键,碘的添加给出了1-C3F7I和1-C4F9I两者的增加的碎片。轰击表面的碘离子的更低电离阈值对比a-C、多晶Si或SiN产生了用于蚀刻氧化物(SiO)的增加的选择性。表3是与工业中通常使用的基准标准蚀刻气体cC4F8和C4F6的对比。1-C4F9I示出了比基准气体(cC4F8和C4F6)更高的SiO2/a-C选择性,分别为高约88%和85%,并且比1-C3F7I为高约46%。1-C4F9I示出了比基准气体(cC4F8和C4F6)更高的SiO2 ER,分别为高8.6%和16.4%,并且比1-C3F7I高9%。图10和图11分别是表明用cC4F8和C4F6的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。
表3
<![CDATA[SiO<sub>2</sub> ER[nm/min]]]> | <![CDATA[SiO<sub>2</sub>/a-C选择性]]> | |
<![CDATA[cC<sub>4</sub>F<sub>8</sub>]]> | 585 | 8.7 |
<![CDATA[C<sub>4</sub>F<sub>6</sub>]]> | 535 | 10.7 |
<![CDATA[1-C<sub>3</sub>F<sub>7</sub>I]]> | 580 | 38.5 |
<![CDATA[1-C<sub>4</sub>F<sub>9</sub>I]]> | 640 | 71 |
实例2
1-C4F9I在具有或不具有掺杂剂的不同a-C掩模层上蚀刻之后,XPS数据分析。
进行在75°样品倾斜和深度分布下变角解析的(angle resolved)XPS以分析a-C掩模上的聚合物组成并用SiO2衬底作为基准。
为了模拟在图案的等离子体处理蚀刻期间在顶部表面上和侧壁上发生的聚合物层形成,调节工艺条件:将a-C掩模、硼掺杂a-C[a-C(B)]和钨掺杂a-C[a-C(W)]以及SiO2(TEOS)试样在衬底上以30毫托下蚀刻60秒,源功率750W(27MHz),以及偏置功率1500W(2MHz)。工艺进料混合物含有125sccm的Ar、30sccm的1-C4F9I并且无O2。到达表面的中性物质和活性物质基于其粘贴系数粘贴至该表面上,并沉积薄的聚合物层。这个薄的聚合物层可能是侧壁钝化的原因,并且经常提供选择性。表4至表6是在1-C4F9I蚀刻之后在不同a-C层上在75°下变角解析的XPS。
表4.在a-C上在75°下变角解析的XPS
BE[eV] | 原子浓度[%] | 误差[%] | 质量浓度[%] | 误差[%] | |
O 1s | 529.90 | 4.94 | 0.19 | 4.57 | 0.17 |
I 3d | 617.50 | 2.07 | 0.03 | 15.22 | 0.21 |
F 1s | 684.30 | 38.65 | 0.32 | 42.47 | 0.30 |
C 1s | 282.30 | 54.34 | 0.35 | 37.75 | 0.32 |
表5.在a-C(B)上在75°下变角解析的XPS
BE[eV] | 原子浓度[%] | 误差[%] | 质量浓度[%] | 误差[%] | |
O 1s | 529.40 | 4.57 | 0.18 | 4.26 | 0.16 |
I 3d | 616.60 | 2.09 | 0.03 | 15.44 | 0.21 |
F 1s | 683.50 | 37.38 | 0.38 | 41.38 | 0.33 |
C 1s | 282.30 | 52.55 | 0.47 | 36.77 | 0.35 |
B 1s | 184.00 | 3.41 | 0.57 | 2.15 | 0.36 |
表6.在a-C(W)上在75°下变角解析的XPS
BE[eV] | 原子浓度[%] | 误差[%] | 质量浓度[%] | 误差[%] | |
O 1s | 530.60 | 6.05 | 0.26 | 5.55 | 0.24 |
I 3d | 617.80 | 1.84 | 0.04 | 13.39 | 0.27 |
F 1s | 684.70 | 34.33 | 0.40 | 37.41 | 0.38 |
C 1s | 282.80 | 57.39 | 0.45 | 39.53 | 0.42 |
W 4f | 31.70 | 0.39 | 0.02 | 4.12 | 0.19 |
在3个不同的a-C掩模[a-C、a-C(B)和a-C(W)]中的碘的表面原子浓度是相当的:约2%。
对于XPS深度分布,已经使用在5keV离子能量下的大簇离子气体Ar1000 +代替单原子Ar+以便使化学损害最小化并避免在氩气溅射期间碘原子的潜在撞击,这可能在有机材料溅射期间发生,诸如氟碳聚合物或无定形碳。
在a-C衬底上的深度分布(profile):表面原子浓度(%)列于表7和图7中。在a-C(B)衬底上的深度分布:表面原子浓度(%)列于表8和图8中。在a-C(W)衬底上的深度分布:表面原子浓度(%)列于表9和图9中。1-C4F9I在SiO2衬底上蚀刻之后的表面原子浓度列于表10中。
表7
C1s | F1s | O1s | I3d |
64.4 | 22.6 | 10.0 | 1.5 |
表8
C1s | F1s | O1s | B 1s | I3d |
62.0 | 20.5 | 10.7 | 4.0 | 1.6 |
表9
C1s | F1s | O1s | W 4f | I3d |
62.5 | 23.0 | 10.4 | 0.9 | 1.8 |
表10
Si 2p | C1s | F1s | O1s | I3d |
24.0 | 18.4 | 11.6 | 53.1 | 0.3 |
与Si基材料的蚀刻相比,所披露的含碘蚀刻化合物在a-C掩模蚀刻期间示出令人关注的行为。根据XPS数据,碘在a-C掩模上深深地穿透,类似于掺杂效应,有助于在蚀刻期间保持它。
●在所有a-C、a-C(B)和a-C(W)中检测到的碘在表面上大约2%并且在本体中更深的量更低;
●在所有a-C掩模上检测到的表面的CxFyIz(x≤4,y≤9,z≤1)聚合物(最高达50秒蚀刻);
●在不同a-C掩模中碘相对氟的穿透:
■在a-C中:I比F穿透更深(分别地,410秒相对450秒),
■在a-C(W)中:I比F穿透更深(分别地,90秒相对45秒),
■在a-C(B)中:F比I穿透更深(分别地,210秒相对90秒);
●相比之下,在SiO2衬底上几乎未检测到碘(0.3%),仅形成非常薄的FC聚合物。
该实例示出,碘离子通过沉积由CxFyIz原子构成的聚合物层来改性图案掩模的表面并且碘离子深深地穿透进图案掩模层的本体中。将关于蚀刻之后图案掩模的组成的XPS数据与实例1中所示出的蚀刻数据相关联,可以观察碘离子的效应。含碘蚀刻化合物通过增加与有机层的交联,使得图案掩模更强并增加其抗蚀刻性来帮助保持图案掩模层。
尽管本文描述的主题可以在说明性实现方式的上下文中描述,以处理具有用户交互组件的计算应用的一个或多个计算应用特征/操作,但是主题不限于这些特定实施例。而是,本文描述的技术可以应用于任何合适类型的用户交互组件执行管理方法、系统、平台和/或装置。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明的原则和范围内做出本文已经描述且阐明以解释本发明的本质的细节、材料、步骤和零件布置上的许多附加的改变。因此,本发明不意图限于上面给出的实例和/或附图中的特定实施例。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。本文所述的实施例仅是示例性的而不是限制性的。组合物和方法的许多变化和修改是可能的,并且在本发明的范围内。因此,保护范围不限于本文所述的实施例,而是仅由其后的权利要求限制,该权利要求的范围应包括权利要求的主题的所有等效形式。
Claims (20)
1.一种用于形成图案化结构的方法,该方法包括以下步骤:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有具有设置在其上的含硅膜和设置在该含硅层上的图案化掩模层的衬底,其中该含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;
使等离子体活化以产生经活化的含碘蚀刻化合物;以及
允许在该经活化的含碘蚀刻化合物与该含硅膜之间进行蚀刻反应,以从该图案化掩模层选择性地蚀刻该含硅膜,从而形成该图案化结构。
2.如权利要求1所述的方法,其进一步包括以下步骤:将氧化剂引入该反应腔室,其中该氧化剂选自O2、O3、CO、CO2、NO、N2O、NO2、H2O、H2O2、COS、SO2以及它们的组合。
3.如权利要求1所述的方法,其进一步包括以下步骤:将惰性气体引入该反应腔室,其中该惰性气体选自由He、Ar、Xe、Kr、Ne和N2组成的组。
4.如权利要求1所述的方法,其中该含碘蚀刻化合物是C4F9I或其异构体。
5.如权利要求1所述的方法,其中该含硅膜包含以下各项的层:氧化硅(SiO)、氮化硅(SiN)、晶体Si、多晶硅(p-Si)、多晶硅、无定形硅、低-k SiCOH、SiOCN、SiC、SiON和SiaObHcCdNe—其中a>0;b、c、d和e≥0、交替的SiO和SiN(ONON)层、交替的SiO和p-Si(OPOP)层。
6.如权利要求1至5中任一项所述的方法,其中该含硅膜任选地含有掺杂剂,诸如B、C、P、As、Ga、In、Sn、Sb、Bi和/或Ge、以及它们的组合。
7.如权利要求1至5中任一项所述的方法,其中使用该含碘蚀刻化合物蚀刻该氧化硅层的蚀刻速率高于使用以下可替代的蚀刻气体蚀刻该氧化硅层的蚀刻速率:cC4F8、C4F6、CF4、CH3F、CF3H、CH2F2、以及它们的组合。
8.如权利要求1至5中任一项所述的方法,其中该含碘蚀刻化合物等离子体蚀刻该交替的SiO和SiN(ONON)层,其对于该SiO层对比SiN层具有在大约1:2至大约2:1之间的选择性。
9.如权利要求1至5中任一项所述的方法,其中该含碘蚀刻化合物等离子体蚀刻该交替的SiO和SiN(ONON)层,其对于该SiO层对比SiN层具有大约1:1的选择性。
10.如权利要求1至5中任一项所述的方法,其中该含碘蚀刻化合物等离子体蚀刻该交替的SiO和p-Si(OPOP)层,其相对于该SiO层对比p-Si层具有在大约1:2至大约2:1之间的选择性。
11.如权利要求1至5中任一项所述的方法,其中该含碘蚀刻化合物等离子体蚀刻该交替的SiO和p-Si(OPOP)层,其对于该SiO层对比p-Si层具有大约1:1的选择性。
12.如权利要求1至5中任一项所述的方法,其中该图案化掩模层是无定形碳层、掺杂无定形碳层、光致抗蚀剂层、减反射层、有机平坦化层、以及它们的组合。
13.如权利要求1所述的方法,其中该经活化的含碘蚀刻化合物从位于待蚀刻的该含硅膜的底部的着陆层选择性地蚀刻该含硅膜。
14.如权利要求1或权利要求13所述的方法,其中该着陆层是选自以下项的金属层:W、Cu、Al、Ru、Pt、Ti、Ta、Ni、Co、Mo、Mn、Nb、Cr、Rh、Pd、Ir、V、Au、Ag或它们的组合。
15.如权利要求1或权利要求13所述的方法,其中该着陆层是选自以下项的金属氧化物层或金属氮化物层:AlO、WO、HfO、TiO、TaO、InO、WO、CrO、RuO、CoO、MoO、ZrO、SnO、TiN、TaN、HfN、AlN、WN、MoN、NiN、NbN、CrN、RuN、CoN、ZrN、SrN或它们的组合。
16.如权利要求1至5中任一项所述的方法,其中在该含硅膜中所形成的该图案化结构具有在大约1:1与大约200:1之间的纵横比。
17.一种在衬底中形成图案化结构的同时补强和/或增强和/或最小化图案化掩模层的损害的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有具有设置在其上的含硅膜和设置在该含硅层上的该图案化掩模层的衬底,其中该含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;以及
使用通过活化等离子体所形成的经活化的含碘蚀刻化合物将该含硅膜从该图案化掩模层蚀刻以形成该图案化结构,
其中该经活化的含碘蚀刻化合物产生碘离子,该碘离子被注入图案化的硬掩模层中,从而增强该图案化掩模层。
18.如权利要求17所述的方法,其中该含碘蚀刻化合物是C4F9I或其异构体。
19.一种在衬底中形成图案化结构的工艺中增加图案化掩模层的抗蚀刻性的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室容纳有具有设置在其上的含硅膜和设置在该含硅层上的该图案化掩模层的衬底,其中该含碘蚀刻化合物具有式CnHxFyIz,其中4≤n≤10,0≤x≤21,0≤y≤21,并且1≤z≤4;
活化等离子体以产生能够将碘掺杂进该图案化掩模层中的经活化的含碘蚀刻化合物;以及
在将该含硅膜从该图案化掩模层蚀刻的同时,将碘离子从该经活化的含碘蚀刻化合物注入该图案化掩模层中以形成该图案化结构,
从而用碘改性该图案化掩模层以增加该图案化掩模层的抗蚀刻性。
20.如权利要求19所述的方法,其中该含碘蚀刻化合物是C4F9I或其异构体。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/913,696 US11798811B2 (en) | 2020-06-26 | 2020-06-26 | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures |
US16/913,696 | 2020-06-26 | ||
PCT/US2021/038668 WO2021262841A1 (en) | 2020-06-26 | 2021-06-23 | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures |
Publications (1)
Publication Number | Publication Date |
---|---|
CN116134981A true CN116134981A (zh) | 2023-05-16 |
Family
ID=79031416
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202180048256.8A Pending CN116134981A (zh) | 2020-06-26 | 2021-06-23 | 用于蚀刻半导体结构的含碘的氟碳化合物和氢氟烃化合物 |
Country Status (7)
Country | Link |
---|---|
US (1) | US11798811B2 (zh) |
EP (1) | EP4189727A1 (zh) |
JP (1) | JP2023531687A (zh) |
KR (1) | KR20230019981A (zh) |
CN (1) | CN116134981A (zh) |
TW (1) | TW202204297A (zh) |
WO (1) | WO2021262841A1 (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2020041213A1 (en) * | 2018-08-24 | 2020-02-27 | Lam Research Corporation | Metal-containing passivation for high aspect ratio etch |
US12106971B2 (en) * | 2020-12-28 | 2024-10-01 | American Air Liquide, Inc. | High conductive passivation layers and method of forming the same during high aspect ratio plasma etching |
JP2022144220A (ja) * | 2021-03-18 | 2022-10-03 | キオクシア株式会社 | 半導体装置の製造方法およびエッチング方法 |
WO2023244214A1 (en) * | 2022-06-14 | 2023-12-21 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3559691B2 (ja) | 1997-09-04 | 2004-09-02 | 株式会社日立製作所 | 半導体装置の製造方法 |
US6387287B1 (en) * | 1998-03-27 | 2002-05-14 | Applied Materials, Inc. | Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window |
US7931820B2 (en) * | 2000-09-07 | 2011-04-26 | Daikin Industries, Ltd. | Dry etching gas and method for dry etching |
JP4761502B2 (ja) | 2004-10-07 | 2011-08-31 | 株式会社アルバック | 層間絶縁膜のドライエッチング方法 |
TW200735206A (en) | 2006-03-10 | 2007-09-16 | Philtech Inc | Method of dry etching of interlayer insulation film and etching device |
TWI437633B (zh) | 2006-05-24 | 2014-05-11 | Ulvac Inc | Dry etching method for interlayer insulating film |
US20080191163A1 (en) | 2007-02-09 | 2008-08-14 | Mocella Michael T | Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons |
WO2009019219A2 (en) | 2007-08-03 | 2009-02-12 | Solvay (Société Anonyme) | Methods of using a solvent or a foam blowing agent |
CN102089869A (zh) * | 2008-07-15 | 2011-06-08 | 苏威氟有限公司 | 制造蚀刻制品的方法 |
US20110073136A1 (en) * | 2009-09-10 | 2011-03-31 | Matheson Tri-Gas, Inc. | Removal of gallium and gallium containing materials |
KR101660488B1 (ko) | 2010-01-22 | 2016-09-28 | 삼성전자주식회사 | 3차원 반도체 장치 및 그 제조 방법 |
US8603921B2 (en) * | 2011-07-25 | 2013-12-10 | Applied Materials, Inc. | Maintaining mask integrity to form openings in wafers |
US8963156B2 (en) * | 2013-02-22 | 2015-02-24 | Micron Technology, Inc. | Semiconductor devices including WiSX |
TWI642809B (zh) * | 2013-09-09 | 2018-12-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 用蝕刻氣體蝕刻半導體結構的方法 |
KR102333443B1 (ko) | 2014-10-24 | 2021-12-02 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10607850B2 (en) * | 2016-12-30 | 2020-03-31 | American Air Liquide, Inc. | Iodine-containing compounds for etching semiconductor structures |
US10453751B2 (en) * | 2017-02-14 | 2019-10-22 | Globalfoundries Inc. | Tone inversion method and structure for selective contact via patterning |
US10586710B2 (en) * | 2017-09-01 | 2020-03-10 | Tokyo Electron Limited | Etching method |
KR102165039B1 (ko) * | 2019-10-31 | 2020-10-14 | 성균관대학교산학협력단 | 건식 식각 방법 및 이에 사용되는 식각 전구체 |
US12106971B2 (en) * | 2020-12-28 | 2024-10-01 | American Air Liquide, Inc. | High conductive passivation layers and method of forming the same during high aspect ratio plasma etching |
-
2020
- 2020-06-26 US US16/913,696 patent/US11798811B2/en active Active
-
2021
- 2021-06-18 TW TW110122322A patent/TW202204297A/zh unknown
- 2021-06-23 JP JP2022579111A patent/JP2023531687A/ja active Pending
- 2021-06-23 EP EP21828321.6A patent/EP4189727A1/en active Pending
- 2021-06-23 KR KR1020237001313A patent/KR20230019981A/ko not_active Application Discontinuation
- 2021-06-23 WO PCT/US2021/038668 patent/WO2021262841A1/en unknown
- 2021-06-23 CN CN202180048256.8A patent/CN116134981A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
US11798811B2 (en) | 2023-10-24 |
US20210407817A1 (en) | 2021-12-30 |
KR20230019981A (ko) | 2023-02-09 |
WO2021262841A8 (en) | 2022-12-29 |
EP4189727A1 (en) | 2023-06-07 |
TW202204297A (zh) | 2022-02-01 |
JP2023531687A (ja) | 2023-07-25 |
WO2021262841A1 (en) | 2021-12-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN110178206B (zh) | 用于蚀刻半导体结构的含碘化合物 | |
CN107924842B (zh) | 用于蚀刻半导体结构的含氮化合物 | |
KR102480249B1 (ko) | 에칭 기체로 반도체 구조를 에칭하는 방법 | |
US11798811B2 (en) | Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures | |
CN116848215A (zh) | 高导电性钝化层及在高纵横比等离子体蚀刻期间形成其的方法 | |
TWI846218B (zh) | 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物 | |
CN118103958A (zh) | 使用含硅氢氟烃的蚀刻方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |