KR102480249B1 - 에칭 기체로 반도체 구조를 에칭하는 방법 - Google Patents

에칭 기체로 반도체 구조를 에칭하는 방법 Download PDF

Info

Publication number
KR102480249B1
KR102480249B1 KR1020227015749A KR20227015749A KR102480249B1 KR 102480249 B1 KR102480249 B1 KR 102480249B1 KR 1020227015749 A KR1020227015749 A KR 1020227015749A KR 20227015749 A KR20227015749 A KR 20227015749A KR 102480249 B1 KR102480249 B1 KR 102480249B1
Authority
KR
South Korea
Prior art keywords
layer
cas
etch
etching
cfh
Prior art date
Application number
KR1020227015749A
Other languages
English (en)
Other versions
KR20220065902A (ko
Inventor
라훌 굽타
벤카테스와라 알 팔렘
비자이 수를라
커티스 앤더슨
네이선 스테포드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20220065902A publication Critical patent/KR20220065902A/ko
Application granted granted Critical
Publication of KR102480249B1 publication Critical patent/KR102480249B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C323/00Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups
    • C07C323/01Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton
    • C07C323/02Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton having sulfur atoms of thio groups bound to acyclic carbon atoms of the carbon skeleton
    • C07C323/03Thiols, sulfides, hydropolysulfides or polysulfides substituted by halogen, oxygen or nitrogen atoms, or by sulfur atoms not being part of thio groups containing thio groups and halogen atoms, or nitro or nitroso groups bound to the same carbon skeleton having sulfur atoms of thio groups bound to acyclic carbon atoms of the carbon skeleton the carbon skeleton being acyclic and saturated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L27/11556
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00404Mask characterised by its size, orientation or shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

기판 상의 Si-함유층에서의 채널 홀, 게이트 트렌치, 스테어케이스 접촉부, 커패시터 홀, 접촉 홀 등을 플라스마 에칭하기 위한 황-함유 화합물, 및 이를 사용하는 플라스마 에칭 방법이 개시된다. 플라스마 에칭 화합물은 Si-함유층과 마스크 재료 사이의 개선된 선택성, 채널 부위에 대한 감소된 손상, 직선형 수직 프로필, 및 높은 종횡비 구조인 패턴에서의 감소된 휨을 제공할 수 있다.

Description

에칭 기체로 반도체 구조를 에칭하는 방법 {METHOD OF ETCHING SEMICONDUCTOR STRUCTURES WITH ETCH GASES}
관련 문헌에 대한 교차 참조
본 출원은 모든 목적을 위해 그 전체가 본원에 참조로 포함되는, 2013 년 9 월 9 일에 출원한 미국 가출원 일련 번호 61/875,321 에 대해 우선권을 주장한다.
기술분야
기판 상의 Si-함유층에서의 채널 홀, 게이트 트렌치, 스테어케이스 (staircase) 접촉부, 커패시터 (capacitor) 홀, 접촉 홀 (contact hole) 등을 플라스마 에칭하기 위한 황-함유 화합물, 및 이를 사용하는 플라스마 에칭 방법이 개시된다.
DRAM 및 2D NAND 와 같은 반도체 산업에서의 메모리 적용물에 있어서, 플라스마 에칭은 SiO 또는 SiN 층과 같은 규소-함유층을 반도체 기판에서 제거한다. 3D NAND (US 2011/0180941) 와 같은 신규한 메모리 적용물에 대해서, 다수의 SiO/SiN 또는 SiO/poly-Si 층의 스택의 에칭이 중요하다. 바람직하게는, 에칭액 (etchant) 은 에칭되는 층과 마스크 사이에 높은 선택성을 갖는다. 또한, 에칭액은 바람직하게는 수직 프로필이 휨 (bowing) 없이 일직선이도록 구조를 에칭한다. 3D NAND 스택은 기타 규소 함유층을 포함할 수 있다.
전통적으로, 플라스마 에칭은 기체 공급원 (예컨대 수소-, 산소-, 또는 불소-함유 기체) 으로부터 활성 종류를 생성시키는 플라스마 공급원을 사용하여 실행된다. 활성 종류는 Si-함유층과 반응하여 휘발성 종류를 형성시킨다. 휘발성 종류는 진공 펌프에 의해 유지되는 반응기에서의 저압에 의해 제거된다. 바람직하게는, 마스크 재료는 활성 종류에 의해 에칭되지 않는다. 마스크 재료는 하기 중 하나를 포함할 수 있다: 포토레지스트, 비결정성 탄소 (a-C), 폴리실리콘 (polySi), 금속, 또는 에칭되지 않는 기타 하드 마스크.
전통적인 에칭 기체는 cC4F8 (옥타플루오로시클로부탄), C4F6 (헥사플루오로-1,3-부타디엔), CF4, CH2F2, CH3F, 및/또는 CHF3 을 포함한다. 이러한 에칭 기체는 또한 에칭 동안 중합체를 형성할 수 있다. 중합체는 패턴 에치 구조의 측벽 상의 보호 또는 패시베이션 층으로서 역할한다. 이러한 중합체 패시베이션 층은 비-수직 구조, 휨, 및 치수 변화를 일으킬 수 있는 측벽의 에칭으로부터 이온 및 라디칼을 방지한다. 선택성 및 중합체 침적 속도는 C:F 비가 증가함에 따라 증가하는 것으로 당업계에 널리 공지되어 있다 (즉, C4F6 > C4F8 > CF4). 예를 들어, US6387287 (Hung et al.) 를 참조한다.
전통적인 에치 화학 반응은 플라스마 에칭 공정 동안 적어도 측벽 상의 불충분한 중합체 침적으로 인해 새로운 적용물에 필요한 높은 종횡비 (>20:1) 를 제공하지 않을 수 있다. 추가로, 측벽 상의 CxFy 중합체는 에칭에 민감하다. 그 결과, 에칭된 패턴은 수직이 아닐 수 있으며 구조는 휨, 치수 변화 및/또는 패턴 붕괴를 나타낼 수 있다.
휨은 종종 비결정성 탄소 재료인 마스크 층의 측벽 에칭으로 인한 것일 수 있다. 비결정성 탄소 재료는, 마스크의 개방 (opening) 을 증가시킬 수 있으며 활형, 또는 각진형/곡선형, 에치 구조를 초래할 수 있는 플라스마에서의 산소 라디칼에 의해 에칭될 수 있다.
COS (카르보닐 술피드) 및 SO2 (이산화황) 와 같은 황 기체는 과거에 산소 플라스마와 조합으로, 패턴 에칭 공정에서 비결정성 탄소 층을 에칭하는데 사용되어 왔다. 황은 비결정성 탄소 상에 패시베이션 층을 제공하여, 산소 라디칼로부터 표면을 보호하는 것을 돕고 그에 따라 활형 구조를 방지하는 것을 도울 수 있다. 예를 들어, Kim et al (J. Vac. Sci. Technol. A 31 (2), Mar/Apr 2013) 은, O2 및 5% COS 의 기체 혼합물 중 에칭된 50 nm 비결정성 탄소 홀이 COS 없이 에칭된 것들에 비해 약 37% 개선된 상부/하부 개방비 및 보다 이방성인 에치 프로필을 생성시키는 것을 개시하고 있다.
Rusu et al (US7645707) 은 불소 성분, O2, 및 황 성분 기체를 포함하는 에칭액 기체를 사용하여 유전체 층을 에칭하는 공정을 기재하고 있다. 황 성분 기체는 바람직하게는 H2S, COS 또는 CS2 이다.
Yanagida (US5376234) 는 각각 플루오로카본 측쇄를 갖는 머캅탄, 티오에테르 및 디술피드에서 선택되는 하나의 화합물이 에칭 기체의 주요 성분으로서 사용되는 건식 에칭 방법을 개시하고 있다. C2F6S2 를 SiO2 중간층 절연 필름 에칭에 사용하는 예가 제공된다.
US2003/0019841 (Behr et al.) 은 세정 또는 에칭 기체에 CF3SF5 와 같은 퍼플루오로계를 첨가하는 것을 개시하고 있다.
KR10-2001/010568 (Samsung Electronics Co. Ltd.) 은 C4F8S, C3F6S 및 C3F6S2 와 같은 황-함유 플루오로카본 기체를 사용하는 산화물 필름의 건식 에칭을 개시하고 있다.
티오카르보닐기 (>C=S) 및 불소 원자를 함유하는 분자가 또한 상이한 에칭 공정에 대해 제시되고 있다. 예를 들어 JP06-151384, JP06-258815 및 JP07-211694 (Sony Corp.) 를 참조한다.
플라스마 적용물에 사용하기 위한 신규한 에칭 기체 조성물이 여전히 필요하다.
표기법 및 명명법
하기의 상세한 설명 및 청구항 전체에 걸쳐 특정 약어, 기호 및 용어를 사용하며, 하기를 포함한다:
본원에서 사용하는 바와 같이, 단수형 표현은 하나 또는 그 이상을 의미한다.
본원에서 사용하는 바와 같이, 용어 "대략" 또는 "약" 은 언급한 값의 ±10% 를 의미한다.
본원에서 사용하는 바와 같이, R 기를 기재하는 맥락에서 사용되는 경우 용어 "독립적으로" 는, 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 포함하는 다른 R 기에 대해 독립적으로 선택될 뿐 아니라 동일한 R 기의 임의 추가적인 종류에 대해서도 독립적으로 선택되는 것을 나타내는 것으로 이해되어야 한다. 예를 들어 식 MR1 x (NR2R3)(4-x) 에서, x 는 2 또는 3 이고, 2 또는 3 개의 R1 기는 서로와 또는 R2 또는 R3 과 동일할 수는 있으나 동일해야할 필요는 없다. 추가로, 다르게 특히 언급하지 않는 한, 상이한 식에서 사용되는 경우 R 기의 값이 서로 독립적이라는 것이 이해되어야 한다.
본원에서 사용하는 바와 같이, 용어 "알킬기" 는 탄소 및 수소 원자만을 함유하는 포화 관능기를 나타내고, 용어 "플루오로알킬기" 는 탄소 및 불소 및 임의로는 수소만을 함유하는 포화 관능기를 나타낸다 (즉, 플루오로알킬기는 부분적 또는 전체 불소화될 수 있음). 또한, 용어 "알킬기" 및 "플루오로알킬기" 는 선형, 분지형 또는 시클릭 기를 나타낸다. 선형 알킬기의 예는 비제한적으로, 메틸기, 에틸기, 프로필기, 부틸기 등을 포함한다. 선형 플루오로알킬기의 예는 CF3-, CF2H-, -CF2-CF3 또는 -CFH-CF3 을 포함한다. 분지형 알킬기의 예는 비제한적으로, t-부틸을 포함한다. 시클릭 알킬기의 예는 비제한적으로, 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함한다. 시클릭 기 및 화합물은 식 앞의 "c" 및 사이클의 정반대쪽 끝에서의 "-" 에 의해 지정된다 (즉, c(-CH2-CH-CH2-) 는 시클로프로필기일 수 있고 c(-CH2-CH2-CH2-) 는 시클로프로판일 수 있음).
본원에서 사용하는 바와 같이, 용어 "에치 (etch)" 또는 "에칭 (etching)" 은, 이온 충격이 화학적 반응을 수직 방향으로 가속화시켜, 기판에 대해 직각으로 마스킹된 지물의 모서리를 따라 수직 측벽이 형성되는 플라스마 에칭 공정 (즉, 건식 에칭 공정) 을 나타낸다 (Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp.12-13). 에칭 공정은 기판에서 애퍼쳐 (aperture), 예컨대 바이어스 (vias), 트렌치 (trench), 채널 홀, 게이트 트렌치, 스테어케이스 접촉부, 커패시터 홀, 접촉 홀 등을 생성시킨다.
용어 "패턴 에치 (pattern etch)" 또는 "패턴화 에치 (patterned etch)" 는 비-평면 구조, 예컨대 규소-함유층의 스택 상의 패턴화 마스크 층을 에칭하는 것을 나타낸다.
용어 "선택성" 은 한 재료의 에칭 속도 대 또 다른 재료의 에칭 속도의 비를 의미한다. 용어 "선택적 에치 (selective etch)" 또는 "선택적으로 에치 (selectively etch)" 는 또 다른 재료보다는 하나의 재료를 에칭하는 것, 또는 즉 2 가지 재료 사이에 1:1 초과 또는 미만의 에치 선택성을 갖는 것을 의미한다.
본원에서 사용하는 바와 같이, 축약 "NAND" 는 "부정 (Negated) AND" 또는 "비 AND (Not AND)" 게이트를 나타내고; 축약 "2D" 는 평면 구조 상의 2 차원적 게이트 구조를 나타내고; 축약 "3D" 는 3 차원적 또는 수직 게이트 구조를 나타내며, 이때 게이트 구조는 수직 방향으로 스택되고; 축약 "DRAM" 은 동적 임의 접근 메모리 (Dynamic Random-Access Memory) 를 나타낸다.
원소 주기율표로부터의 원소의 표준 축약어를 본원에서 사용한다. 원소가 이러한 축약에 의해 지칭될 수 있다는 것을 이해해야 한다 (예를 들어, S 는 황을 나타내고, Si 는 규소를 나타내고, H 는 수소를 나타내는 것 등).
화학 초록 서비스 (Chemical Abstract Service) 에 의해 할당된 고유한 CAS 등록 번호 (즉, "CAS") 가 제공되어, 개시된 분자를 보다 잘 확인할 수 있게 한다.
Si-함유 필름, 예컨대 SiN 및 SiO 가, 그의 적절한 화학량론을 참조로 하지 않고 명세서 및 청구범위 전체를 통해 열거된다는 것에 주의해야한다. 규소-함유층은 순수 규소 (Si) 층, 예컨대 결정질 Si, 폴리실리콘 (polySi 또는 다결정질 Si), 또는 비결정성 규소; 질화규소 (SikNl) 층; 또는 산화규소 (SinOm) 층; 또는 이의 혼합물을 포함할 수 있으며, 이때 k, l, m 및 n 은 포괄적으로 1 내지 6 범위이다. 바람직하게는, 질화규소는 SikNl 이고, 이때 k 및 l 은 각각 0.5 내지 1.5 범위이다. 보다 바람직하게는 질화규소는 Si1N1 이다. 바람직하게는 산화규소는 SinOm 이고, 이때 n 은 0.5 내지 1.5 범위이고 m 은 1.5 내지 3.5 범위이다. 보다 바람직하게는, 산화규소는 SiO2 또는 SiO3 이다. 규소-함유층은 또한 산화규소계 유전체 재료, 예컨대 유기계 또는 산화규소계 저-k 유전체 재료, 예컨대 Applied Materials, Inc. 사에 의한 Black Diamond II 또는 III 재료일 수 있다. 규소-함유층은 또한 B, C, P, As 및/또는 Ge 와 같은 도펀트를 포함할 수 있다.
발명의 개요
기판 상의 규소-함유층을 플라스마 에칭하는 방법이 개시된다. 화합물의 증기가 기판 상 규소-함유층을 함유하는 챔버에 도입된다. 화합물은 하기로 이루어지는 군에서 선택되는 식을 갖는다:
R1-SH
R2-S-R3
C2F4S2 (CAS 1717-50-6)
식 중에서, R1, R2 및 R3 은 각각 독립적으로 포화 C1 ~ C4 알킬 또는 플루오로알킬기이고, R2 및 R3 은 연결되어 5 또는 6 원 S-함유 고리를 형성할 수 있다. 불활성 기체가 챔버에 도입된다. 플라스마가 발생되어, 증기로부터 활성화 증기를 생성시킨다. 활성화 증기는 규소-함유층과 선택적으로 반응하여 휘발성 부산물을 형성한다. 휘발성 부산물은 챔버로부터 제거된다. 개시된 방법은 하기 양태 중 하나 이상을 포함할 수 있다:
·화합물이 C2F4S2 (CAS 1717-50-6) 임;
·화합물이 식 R1-SH 를 가짐;
·화합물이 F3C-SH (CAS 1493-15-8) 임;
·화합물이 F3C-CF2-SH (CAS 1540-78-9) 임;
·화합물이 F3C-CH2-SH (CAS 1544-53-2) 임;
·화합물이 CHF2-CF2-SH (CAS 812-10-2) 임;
·화합물이 CF3-CF2-CH2-SH (CAS 677-57-6) 임;
·화합물이 F3C-CH(SH)-CF3 (CAS 1540-06-3) 임;
·화합물이 식 R2-S-R3 을 가짐;
·화합물이 F3C-S-CF3 (CAS 371-78-8) 임;
·화합물이 F3C-S-CHF2 (CAS 371-72-2) 임;
·화합물이 F3C-CF2-S-CF2-CF3 (CAS 155953-22-3) 임;
·화합물이 F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8) 임;
·R2 및 R3 이 연결되어 5 내지 6 원 S-함유 고리를 형성함;
·화합물이 c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5) 임;
·화합물이 c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8) 임;
·화합물이 c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6) 임;
·화합물이 c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8) 임;
·화합물이 c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9) 임;
·화합물이 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0) 임;
·불활성 기체가 He, Ar, Xe, Kr, Ne, 및 이의 조합으로 이루어지는 군에서 선택됨;
·불활성 기체가 Ar 임;
·챔버에 도입하기 전에 증기 및 불활성 기체를 혼합하여 혼합물을 제조함;
·불활성 기체와 별개로 증기를 챔버에 도입함;
·혼합물이 대략 50% v/v 내지 대략 95% v/v 불활성 기체를 포함함;
·불활성 기체를 연속하여 챔버에 도입하고 증기를 규칙적으로 챔버에 도입함;
·산화제를 챔버에 도입함;
·산화제를 챔버에 도입하지 않음;
·산화제가 O2, O3, CO, CO2, NO, N2O, NO2, 및 이의 조합으로 이루어지는 군에서 선택됨;
·챔버에 도입하기 전에 증기 및 산화제를 혼합함;
·산화제와 별개로 증기를 챔버에 도입함;
·산화제를 연속하여 챔버에 도입하고 증기를 규칙적으로 챔버에 도입함;
·대략 5% v/v 내지 대략 100% v/v 의 산화제를 챔버에 도입함;
·규소-함유층이 산화규소, 질화규소, 폴리실리콘, 또는 이의 조합의 층을 포함함;
·규소-함유층이 산소 원자, 질소 원자, 탄소 원자, 또는 이의 조합을 추가로 포함함;
·규소-함유층이 탄화규소를 포함하지 않음;
·규소-함유층이 산화규소 층임;
·비결정성 탄소 층으로부터 산화규소 층을 선택적으로 에칭함;
·포토레지스트 층으로부터 산화규소 층을 선택적으로 에칭함;
·폴리실리콘 층으로부터 산화규소 층을 선택적으로 에칭함;
·금속 접촉 층으로부터 산화규소 층을 선택적으로 에칭함;
·SiN 층으로부터 산화규소 층을 선택적으로 에칭함;
·규소-함유층이 질화규소 층임;
·비결정성 탄소 층으로부터 질화규소 층을 선택적으로 에칭함;
·패턴화 포토레지스트 층으로부터 질화규소 층을 선택적으로 에칭함;
·폴리실리콘 층으로부터 질화규소 층을 선택적으로 에칭함;
·금속 접촉 층으로부터 질화규소 층을 선택적으로 에칭함;
·SiO 층으로부터 질화규소 층을 선택적으로 에칭함;
·대략 10:1 내지 대략 100:1 의 종횡비를 갖는 규소-함유층에서 애퍼쳐를 생성시킴;
·게이트 트렌치를 생성시킴;
·스테어케이스 접촉부를 생성시킴;
·채널 홀을 생성시킴;
·대략 60:1 내지 대략 100:1 의 종횡비를 갖는 채널 홀을 생성시킴;
·대략 40 nm 내지 대략 50 nm 범위의 직경을 갖는 채널 홀을 생성시킴;
·에칭 기체를 챔버에 도입함;
·에칭 기체가 cC5F8, cC4F8, C4F8, C4F6, CF4, CHF3, CF3H, CH2F2, COS, CS2; CF3I; C2F3I; C2F5I; SO2; 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐; 시스-1,1,1,4,4,4-헥사플루오로-2-부텐; 헥사플루오로이소부텐; 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 및 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4) 로 이루어지는 군에서 선택됨;
·에칭 기체가 cC5F8 임;
·에칭 기체가 cC4F8 임;
·에칭 기체가 C4F6 임;
·챔버에 도입하기 전에 증기 및 에칭 기체를 혼합함;
·에칭 기체와 별개로 증기를 챔버에 도입함;
·대략 1% v/v 내지 대략 99.9% v/v 의 에칭 기체를 챔버에 도입함;
·대략 25 W 내지 대략 10,000 W 범위의 RF 전력에 의해 플라스마를 활성화시킴;
·챔버가 대략 1 mTorr 내지 대략 10 Torr 범위의 압력을 가짐;
·대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 증기를 챔버에 도입함;
·대략 -196℃ 내지 대략 500℃ 범위의 온도에서 기판을 유지시킴;
·대략 -120℃ 내지 대략 300℃ 범위의 온도에서 기판을 유지시킴;
·대략 -10℃ 내지 대략 40℃ 범위의 온도에서 기판을 유지시킴;
·대략 -100℃ 내지 대략 50℃ 범위의 온도에서 기판을 유지시킴;
·사중극자 (Quadropole) 질량 분석계, 광학 방출 분광계, FTIR, 또는 기타 라디칼/이온 측정 도구에 의해 활성화 증기를 측정함;
·RF 전력을 적용하여 플라스마를 발생시킴.
한 대안예에서, 본 발명은 다음과 같다.
[1] 에치-저항성 중합체 층을 기판 상에 침적시키는 방법으로서,
하기로 이루어지는 군에서 선택되는 식을 갖는 화합물의 증기를, 기판을 함유하는 반응 챔버에 도입하고:
C2F4S2 (CAS 1717-50-6), F3CSH (CAS 1493-15-8), F3C-CF2-SH (CAS 1540-78-9), F3C-CH2-SH (CAS 1544-53-2), CHF2-CF2-SH (812-10-2), CF3-CF2-CH2-SH (CAS 677-57-6), F3C-CH(SH)-CF3 (CAS 1540-06-3), F3C-S-CF3 (CAS 371-78-8), F3C-S-CHF2 (CAS 371-72-2), F3C-CF2-S-CF2-CF3 (CAS 155953-22-3), F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8), c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5), c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8), c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9), 및 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0);
화합물을 플라스마 활성화시켜 에치-저항성 중합체 층을 기판 상에 형성하는 것을 포함하는 방법.
[2] [1] 에 있어서, 화합물이 C2F4S2 (CAS 1717-50-6) 인 방법.
[3] [1] 에 있어서, 화합물이 F3CSH (CAS 1493-15-8), F3C-CF2-SH (CAS 1540-78-9), F3C-CH2-SH (CAS 1544-53-2), CHF2-CF2-SH (812-10-2), CF3-CF2-CH2-SH (CAS 677-57-6), 및 F3C-CH(SH)-CF3 (CAS 1540-06-3)로 이루어지는 군에서 선택되는 방법.
[4] [1] 에 있어서, 화합물이 F3C-S-CF3 (CAS 371-78-8), F3C-S-CHF2 (CAS 371-72-2), F3C-CF2-S-CF2-CF3 (CAS 155953-22-3), 및 F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8)로 이루어지는 군에서 선택되는 방법.
[5] [1] 에 있어서, 화합물이 c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5), c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8), c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9), 및 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0) 로 이루어지는 군에서 선택되는 방법.
[6] [1] 내지 [5] 중 어느 하나에 있어서, 에치-저항성 중합체 층이 S-함유 중합체 패시베이션 층을 패턴 에치 구조의 측벽 상에 형성하는 방법.
[7] [6] 에 있어서, 패턴 에치 구조가 10:1 내지 100:1 범위의 종횡비를 갖는 방법.
[8] [6] 에 있어서, 패턴 에치 구조가 60:1 내지 100:1 범위의 종횡비를 갖는 방법.
[9] [6] 에 있어서, 패턴 에치 구조가 대략 40 nm 내지 대략 50 nm 범위의 직경을 갖는 방법.
[10] [6] 에 있어서, 패시베이션 층이, 이온 및 라디칼이 측벽을 에칭하는 것을 방지하는 방법.
[11] [1] 내지 [6] 중 어느 하나에 있어서, 에치-저항성 중합체 층이 휨 (bowing) 없이 직선형 수직 프로필을 갖는 패턴 에치 구조를 초래하는 방법.
[12] [1] 내지 [11] 중 어느 하나에 있어서, 불활성 기체를 반응 챔버에 도입하는 것을 추가로 포함하는 방법.
[13] [12] 에 있어서, 불활성 기체가 He, Ar, Xe, Kr, Ne, 및 이의 조합으로 이루어지는 군에서 선택되는 방법.
[14] S-함유 중합체 패시베이션 층을 기판 상에 침적시키는 방법으로서,
하기로 이루어지는 군에서 선택되는 식을 갖는 화합물의 증기를, 기판을 함유하는 반응 챔버에 도입하고:
C2F4S2 (CAS 1717-50-6), F3CSH (CAS 1493-15-8), F3C-CF2-SH (CAS 1540-78-9), F3C-CH2-SH (CAS 1544-53-2), CHF2-CF2-SH (812-10-2), CF3-CF2-CH2-SH (CAS 677-57-6), F3C-CH(SH)-CF3 (CAS 1540-06-3), F3C-S-CF3 (CAS 371-78-8), F3C-S-CHF2 (CAS 371-72-2), F3C-CF2-S-CF2-CF3 (CAS 155953-22-3), F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8), c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5), c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8), c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9), 및 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0);
플라스마를 활성화시켜 화합물의 단편을 제조하여 기판 상에 S-함유 중합체 패시베이션 층을 형성하는 것을 포함하는 방법.
[15] [14] 에 있어서, 불활성 기체를 반응 챔버에 도입하는 것을 추가로 포함하는 방법.
[16] [15] 에 있어서, 불활성 기체가 He, Ar, Xe, Kr, Ne, 및 이의 조합으로 이루어지는 군에서 선택되는 방법.
본 발명의 성질 및 목적을 더 이해하기 위해, 동일하거나 유사한 참조 번호를 요소에 부여하며 하기와 같은, 동반하는 도면과 함께 하기의 상세한 설명을 참조해야 한다:
도 1 은 NAND 스택에서의 예시적 층을 나타내는 모식도이다;
도 1a 는 NAND 스택에서의 에칭 동안 측벽에 침적된 중합체를 나타내는 모식도이다;
도 2 는 DRAM 스택에서의 예시적 층을 나타내는 모식도이다;
도 3 은 C2H3F3S 에 의해 생성된 종류 분획물의 부피 (Torr) 대 에너지 (eV) 를 플롯팅하는 질량 분석 (MS) 그래프이다;
도 4 는 C2F4S2 에 의해 생성된 종류 분획물의 부피 (Torr) 대 에너지 (eV) 를 플롯팅하는 MS 그래프이다;
도 5 는 C2F6S2 에 의해 생성된 종류 분획물의 부피 (Torr) 대 에너지 (eV) 를 플롯팅하는 비교용 MS 그래프이다;
도 6 은 뒤이은 실시예에서 사용한 에칭기 (etcher) 의 모식도이다;
도 7 은 C2H3F3S 로부터 침적된 중합체에서의 원자의 X-선 광전자 분광 (XPS) 그래프이다;
도 8A 는 C2H3F3S 에 의해 침적된 중합체 필름의 주사 전자 현미경 (SEM) 사진이다;
도 8B 는 각 층의 경계선을 더 양호하게 마스킹하기 위해 라인을 첨가한, C2H3F3S 에 의해 침적된 중합체 필름의 동일한 SEM 사진이다;
도 9 는 C2F6S2 로부터 중합체를 침적시키도록 시도된 Si 절취 시편에서의 원자의 XPS 그래프이다;
도 10 은 산소 유량의 함수로서 플롯팅한 SiO2, SiN, p-Si 및 a-C 상의 C2H3F3S 의 에칭 속도 그래프이다;
도 11 은 CF4 유량의 함수로서 플롯팅한 SiO2, SiN, p-Si 및 a-C 상의 C2H3F3S 와 CF4 의 조합의 에칭 속도 그래프이다;
도 12 는 산소 유량의 함수로서 플롯팅한 SiO2, SiN, p-Si 및 a-C 상의 C2F4S2 의 에칭 속도 그래프이다;
도 13 은 산소 유량의 함수로서 플롯팅한 SiO2, SiN, p-Si 및 a-C 상의 cC4F8 의 에칭 속도 그래프이다;
도 14 는 산소 유량의 함수로서 플롯팅한 SiO2, SiN, p-Si 및 a-C 상의 C4F6 의 에칭 속도 그래프이고;
도 15 는 CF4 유량의 함수로서 플롯팅한 SiO2, SiN, p-Si 및 a-C 상의 cC4F8 과 CF4 의 조합의 에칭 속도 그래프이다.
바람직한 구현예의 설명
규소-함유층에서의 채널 홀, 게이트 트렌치, 스테어케이스 접촉부, 커패시터 홀, 접촉 홀 등을 플라스마 에칭하기 위한 황-함유 화합물이 개시된다. 개시된 에칭 화합물은 층을 마스킹하기에 더 높은 선택성을 제공할 수 있으며 높은 종횡비 구조에 있어서 프로필 왜곡 (profile distortion) 이 없다.
플라스마 에칭 화합물은 Si-함유층과 마스크 재료 사이의 선택성 개선, 채널 부위에 대한 손상 감소 및 높은 종횡비 구조인 패턴에서의 휨 감소를 제공할 수 있다. 플라스마 에칭 화합물은 또한 polySi, SiO, 및/또는 SiN 의 교차 층을 통해 에칭되어, 수직 에치 프로필을 초래할 수 있다.
개시된 황-함유 화합물은 하기 식 중 하나를 갖는다:
R1-SH
R2-S-R3
C2F4S2 (CAS 1717-50-6)
이때, R1, R2 및 R3 은 각각 독립적으로 포화 C1 ~ C4 알킬 또는 플루오로알킬기이고, R2 및 R3 은 연결되어 5 또는 6 원 S-함유 고리를 형성할 수 있다.
한 대안예에서, 황-함유 화합물은 C2F4S2 (CAS 1717-50-6) 이다. 이러한 화합물은 시판된다. 출원인은, 이러한 화합물의 시클릭 구조가 Si-함유층을 이방성으로 에칭하는 것과 구조 측벽 상의 S-함유 중합체 패시베이션 층을 침적시키는 것 모두에 적합한 플라스마 공정 동안 단편을 생성시킬 것이라고 믿는다 (도 1a 참조).
또 다른 대안예에서, 화합물은 식 R1-SH 를 가지며, 이때 R1 은 포화 C1 ~ C4 알킬 또는 플루오로알킬기이다. 이러한 화합물은 시판되거나 실온에서 오토클레이브 내에서 과량의 황화수소에 의한 상응하는 염화술페닐의 환원에 의해 1-단계 방법으로 합성될 수 있다 (예를 들어, Bekker et al., Phosphorus, Sulfur, and Silicon and the Related Elements (1996) 119, 161-68 참조). 대안적으로, R1-S-Cl 및 Cl2-P-O-CH3 은 PSCl3 와, 그 이후 H2O 와 2-단계 공정으로 반응할 수 있다 (예를 들어, Haas and Kortmann, Zeitschrift fuer Anorganische und Allgemeine Chemie, 501, 79-88, 1983 참조).
출원인은, R1-SH 의 말단 SH 가 플라스마에서 더 가벼운 S-함유 단편을 제공하여, 에칭 공정 동안 보다 효과적으로 S-함유 중합체가, 에칭되는 구조의 측벽 상에 패시베이션 층을 침적시킬 수 있게 함으로써 (도 1a 참조), 하드마스크 프로필의 무결성을 보호하고 원하는 에치 프로필을 초래한다고 믿는다. S-함유 중합체 패시베이션 층에서의 H 의 존재는 또한 중합체를 강화시켜, H 를 덜 함유하는 층보다 에칭 환경으로부터의 손상에 더 저항성이 있게 할 수 있다. 식 R1-SH 를 갖는 예시적 화합물은 F3CSH (CAS 1493-15-8), F3C-CF2-SH (CAS 1540-78-9), F3C-CH2-SH (CAS 1544-53-2), CHF2-CF2-SH (CAS 812-10-2), CF3-CF2-CH2-SH (CAS 677-57-6) 및 F3C-CH(SH)-CF3 (CAS 1540-06-3) 을 포함한다.
또 다른 대안예에서, 화합물은 식 R2-S-R3 을 가지며, 이때 R2 및 R3 은 각각 독립적으로 포화 C1 ~ C4 알킬 또는 플루오로알킬기이고, R2 및 R3 은 연결되어 5 또는 6 원 S-함유 고리를 형성할 수 있다. 출원인은, 이러한 분자 내에서 +2 산화 상태에 있는 S 가, 더 높은 산화 상태의 S 를 갖는 화합물로부터 생성된 것들보다 측벽 중합체 패시베이션 층의 형성에 적합한 단편을 생성시키는 더 양호한 능력을 제공한다고 믿는다. R2-S-R3 분자 내에서 둘 이상의 탄소 원자와 하나의 황 원자의 조합은 또한, 에칭 공정 동안의 손상으로부터 측벽을 더 양호하게 보호할 수 있는 탄소 풍부 S-함유 중합체 패시베이션 층을 생성시킬 수 있다.
식 R2-S-R3 을 갖는 예시적인 선형 분자는 F3C-S-CF3 (CAS 371-78-8), F3C-S-CHF2 (CAS 371-72-2), F3C-CF2-S-CF2-CF3 (CAS 155953-22-3) 및 F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8) 을 포함한다. 이러한 화합물은 시판되거나 Hg(SCF3)2 를 MeI 와 반응시켜 합성될 수 있다 (예를 들어, Yu et al., Inorganic Chemistry (1974), 13(2), 484-6 참조). 대안적으로, 화합물은 CF3SOC(O)Me 의 광분해에 의해 합성될 수 있다 (동일 문헌 참조).
식 R2-S-R3 을 갖는 예시적인 시클릭 분자는 c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5), c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8), c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9) 및 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0) 을 포함한다. 이러한 화합물은 유사한 불포화 S-함유 고리 구조를 칼륨 테트라플루오로코발테이트 (III) 로 불소화시켜 합성될 수 있다 (예를 들어, Coe, e-EROS Encyclopedia of Reagents for Organic Synthesis, No pp. given; 2001 참조). 대안적으로, 이러한 화합물은 단위 구조 -(CF2)mS(CF2)nS- 의 공중합체의 진공 열분해에 의해 합성될 수 있다. -(CF2)mS(CF2)nS- 공중합체는 F2C:CF2 를 CSF2 또는 테트라플루오로티이란과 반응시켜 제조될 수 있다 (예를 들어, James and Rowsell, Journal of the Chemical Society [Section] D: Chemical Communications (1969)(21), 1274-5 참조).
개시된 황-함유 플라스마 에칭 화합물은 대략 99.9% v/v 내지 대략 100.0% v/v 순도, 바람직하게는 대략 99.99% v/v 내지 대략 100.00% v/v 순도, 및 보다 바람직하게는 대략 99.999% v/v 내지 대략 100.000% v/v 순도로 제공된다. 개시된 에칭 화합물은 대략 0.0 부피% 내지 대략 0.1 부피% 의 미량 기체 불순물을, 상기 미량 기체 불순물 중 함유된 대략 0 부피ppm 내지 대략 150 부피ppm 의 질소-함유 및 산소-함유 기체, 예컨대 N2 및/또는 H2O 및/또는 HF 및/또는 H2S 및/또는 CO2 및/또는 CO, 및/또는 SO2 와 함께 함유한다. 바람직하게는, 플라스마 에칭 화합물 중의 물 함량은 대략 0 중량ppm 내지 대략 20 중량ppm 이다. 정제된 생성물은 증류에 의해 및/또는 기체 또는 액체를 적합한 흡착제, 예컨대 4A 분자체를 통과시켜 제조될 수 있다.
한 대안예에서, 개시된 플라스마 에칭 화합물은 대략 0% v/v 내지 대략 5% v/v, 바람직하게는 대략 0% v/v 내지 대략 1% v/v, 보다 바람직하게는 대략 0.0% v/v 내지 대략 0.1% v/v, 보다 더 바람직하게는 대략 0.00% v/v 내지 대략 0.01% v/v 의 임의의 그의 이성질체를 함유한다. 이러한 대안예는 보다 양호한 공정 반복성을 제공할 수 있다. 이러한 대안예는 기체 또는 액체의 증류에 의해 생성될 수 있다. 대안적으로는, 특히 이성질체 혼합물이 개선된 공정 매개변수를 제공하거나 표적 이성질체의 단리가 지나치게 어렵거나 비용이 많이 들어가는 경우, 개시된 플라스마 에칭 화합물은 대략 5% v/v 내지 대략 50% v/v 의 하나 이상의 그의 이성질체를 함유할 수 있다. 예를 들어, 이성질체의 혼합물은 플라스마 반응기에 대한 둘 이상의 기체 라인의 필요성을 감소시킬 수 있다. 하나의 예시적 혼합물은 50% v/v F3C-CF2-SH (CAS 1540-78-9) 를 50% v/v F3C-S-CHF2 (CAS 371-72-2) 과, 또는 90% c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8) 를 10% c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9) 와 조합할 수 있다.
개시된 화합물은 하나 이상의 Si-함유층에서의 채널 홀, 게이트 트렌치, 스테어케이스 접촉부, 커패시터 홀, 접촉 홀 등의 플라스마 에칭에 적합하며 마스크 재료의 현재와 미래 세대와 양립가능한데, 이것은 이들이 높은 종횡비 구조의 양호한 프로필에 따라 마스크에 거의 손상을 유도하지 않거나 전혀 손상을 유도하지 않기 때문이다. 이러한 특성을 달성하기 위해서, 개시된 화합물에 함유된 S 원자는 에칭 동안 에치-저항성 중합체 층을 침적시킬 수 있으며 에칭 공정 동안 산소 및 불소 라디칼의 직접적 영향을 감소시키는 것을 도울 수 있다. 개시된 화합물은 또한 에칭 동안 poly-Si 채널 구조에 대한 손상을 감소시킬 수 있다 (US 2011/0180941 참조). 바람직하게는, S-함유 화합물은 반응기/챔버로의 전달을 위해 에칭 공정 동안 적합하게 휘발성이고 안정하다.
재료 양립성 시험은 어떠한 S-함유 화합물이 챔버 채료와 반응하고 단기간 또는 장기간 사용으로 그의 성능이 열화 (degradation) 될지를 측정하는데 중요하다. 챔버, 밸브 등의 부분에 포함된 핵심 재료는 스테인레스 스틸, 알루미늄, 니켈, PCTFE, PVDF, PTFE 및 기타 금속 및 중합체를 포함한다. 때때로 이러한 재료는 그의 열화를 촉진시킬 수 있는 고온 및 고압에 노출된다. 계측 방법은 외관 검사, 중량 측정, SEM 에서의 나노미터 크기 변화, 인장 강도, 경도 측정 등을 포함할 수 있다.
개시된 황-함유 화합물은 기판 상 규소-함유층의 플라스마 에칭에 사용될 수 있다. 개시된 플라스마 에칭법은 NAND 또는 3D NAND 게이트 또는 플래시 (Flash) 또는 DRAM 메모리와 같은 반도체 소자 제조에 유용할 수 있다. 다른 적용 분야는 상이한 라인의 전단 (FEOL) 및 라인의 후단 (BEOL) 에칭 적용에서의 이의 사용을 포함한다. 추가로, 이는 또한 논리 (logic) 기판 상의 메모리 기판을 상호연결시키기 위한 3D TSV (실리콘 관통 전극 (Through Silicon Via)) 에칭 적용을 위해 Si 를 에칭하는 것을 포함할 수 있다.
플라스마 에칭법은 이에 배치된 기판을 갖는 반응기를 제공하는 것을 포함한다. 반응기는, 비제한적으로, 반응성 이온 에칭 (Reactive Ion Etching) (RIE), 단일 또는 다중 주파수 RF 공급원을 갖는 이중 축전 결합 플라스마, 유도 결합 플라스마 (Inductively Coupled Plasma) (ICP), 또는 마이크로웨이브 플라스마 반응기, 또는 일부의 Si 함유층을 선택적으로 제거하거나 활성 종류를 생성시킬 수 있는 기타 유형의 에칭 시스템과 같은, 에칭법이 발생하는 소자 내의 임의의 봉포물 또는 챔버일 수 있다. 당업자는 상이한 반응기 설계가 상이한 전자 온도 제어를 제공한다는 것을 인지할 것이다. 적합한 시판 반응기는 비제한적으로, 상표명 eMAX TM 으로 판매되는 Applied Materials 자기 증강 반응성 이온 에칭기 또는 상표명 2300® FlexTM 으로 판매되는 Lam Research Dual CCP 반응성 이온 에칭기 유전체 에칭 제품군을 포함한다.
반응기는 1 개 또는 1 개 초과의 기판을 함유할 수 있다. 예를 들어, 반응기는 25.4 mm 내지 450 mm 직경을 갖는 1 내지 200 개 규소 웨이퍼를 함유할 수 있다. 대안적으로, S-함유 화합물을 반응기 벽으로부터 Si-함유 기판을 제거하는데 사용할 수 있다. 기판은 일반적으로, 공정이 그에 대해 수행되는 재료로서 정의된다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 소자 제조에 사용한 임의의 적합한 기판일 수 있다. 통상 기판은 그에 다수의 층을 갖는 패턴화 기판일 것이다. 적합한 층의 예는 비제한적으로, 규소 (예컨대 비결정성 규소, 폴리실리콘, 결정질 규소, 이 중 임의의 것은 추가로 p-도핑되거나 n-도핑될 수 있음), 실리카, 질화규소, 산화규소, 산질화규소, 텅스텐, 질화티탄, 질화탄탈, 마스크 재료 예컨대 비결정성 탄소, 반사방지 코팅, 포토레지스트 재료, 또는 이의 조합을 포함한다. 추가로, 텅스텐 또는 귀금속 (예를 들어, 백금, 팔라듐, 로듐 또는 금) 을 포함하는 층을 사용할 수 있다.
기판은 도 1 에서 나타낸 것들과 유사한 다수의 층 스택을 포함할 수 있다. 도 1 에서, 7 개 SiO/SiN 층의 스택은 규소 웨이퍼 기판의 상부에 위치한다 (즉, ONON 또는 TCAT 기법). 당업자는 SiN 층을 polySi 층으로 대체하는 일부 기법을 인지할 것이다 (즉, SiO/polySi 또는 P-BICS 기법). 비결정성 탄소 마스크 층은 7 개 SiO/SiN 층의 상부에 위치한다. 반사방지 코팅층은 비결정성 탄소 마스크의 상부에 위치한다. 패턴 포토레지스트 층은 반사방지 코팅의 상부에 위치한다. 도 1 에서의 층 스택은 3D NAND 게이트를 제조하는데 사용한 것들과 유사한 층을 반영한다. 당업자는 도 1 에서의 층 스택이 단지 예시적인 목적으로 제공되며 개시된 황-함유 화합물이 다른 층 스택을 에칭하는데 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는 스택에서의 SiO/SiN 또는 SiO/poly-Si 층의 수가 가변적일 수 있다 (즉, 나타낸 7 개 초과 또는 7 개 미만의 SiO/SiN 층을 포함할 수 있음) 는 것을 인지할 것이다.
대안적으로, 기판은 도 2 에서 나타낸 것들과 유사한 다수의 층 스택을 포함할 수 있다. 도 2 에서, 4 개 층의 스택은 규소 웨이퍼 기판의 상부에 위치한다. 비결정성 탄소 마스크 층은 대규모 SiO 층의 상부에 위치한다. 반사방지 코팅층은 비결정성 탄소 마스크의 상부에 위치한다. 패턴 포토레지스트 층은 반사방지 코팅의 상부에 위치한다. 도 2 에서의 층 스택은 DRAM 메모리를 제조하는데 사용한 것들과 유사한 층을 반영한다. 당업자는 도 2 에서의 층 스택이 단지 예시적인 목적으로 제공되며 개시된 황-함유 화합물이 다른 층 스택을 에칭하는데 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는 스택에서의 층 수가 가변적일 수 있다 (즉, 나타낸 4 개 초과 또는 4 개 미만의 층을 포함할 수 있음) 는 것을 인지할 것이다.
개시된 황-함유 화합물의 증기는 기판 및 규소-함유층을 함유하는 챔버에 도입된다. 증기는 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 챔버에 도입될 수 있다. 예를 들어, 200 mm 웨이퍼 크기에 대해, 증기는 대략 5 sccm 내지 대략 50 sccm 범위의 유량으로 챔버에 도입될 수 있다. 대안적으로, 450 mm 웨이퍼 크기에 대해, 증기는 대략 25 sccm 내지 대략 250 sccm 범위의 유량으로 챔버에 도입될 수 있다. 당업자는 유량이 도구별로 가변적이라는 것을 인지할 것이다.
개시된 황-함유 화합물은 순수 (neat) 형태로 또는 적합한 용매, 예컨대 에틸 벤젠, 자일렌, 메시틸렌, 데칸 또는 도데칸과의 배합물로 공급될 수 있다. 개시된 황-함유 화합물은 용매 중 가변적 농도로 존재할 수 있다. 황-함유 화합물의 증기 형태는, 순수 또는 배합된 황-함유 화합물 용액을 직접 기화 또는 버블링과 같은 종래의 기화 단계를 통해 기화시켜 제조될 수 있다. 순수 또는 배합된 S-함유 화합물은 액체 상태로 기화 장치에 공급될 수 있으며, 여기서 이는 반응기에 도입되기 전에 기화된다. 대안적으로, 순수 또는 배합된 S-함유 화합물은 개시된 S-함유 화합물을 함유하는 용기에 운반체 기체를 통과시키거나 개시된 S-함유 화합물에 운반체 기체를 버블링함으로써 기화될 수 있다. 운반체 기체는 비제한적으로, Ar, He, N2,및 이의 혼합물을 포함할 수 있다. 운반체 기체를 버블링하는 것은 또한, 순수 또는 배합된 S-함유 화합물 용액에 존재하는 임의의 용존 산소를 제거할 수 있다. 운반체 기체 및 개시된 S-함유 화합물을 이후 증기로서 반응기에 도입한다.
필요시, 개시된 S-함유 화합물을 함유하는 용기를, S-함유 화합물이 액체상이 되게 하고 충분한 증기압을 갖게 하는 온도로 가열할 수 있다. 용기를, 예를 들어 대략 0℃ 내지 대략 150℃ 범위의 온도에서 유지시킬 수 있다. 당업자는 용기의 온도를 기화된 S-함유 화합물의 양을 제어하는 공지된 방식으로 조정할 수 있다는 것을 인지하고 있다.
개시된 S-함유 화합물의 증기는 활성화된 증기를 생성시키기 위해 플라스마에 의해 활성화된다. 플라스마는 S-함유 화합물을 라디칼 형태 (즉, 활성화된 S-함유 화합물) 로 분해한다. 플라스마는 RF 또는 DC 전력을 적용하여 생성될 수 있다. 플라스마는 약 25 W 내지 약 10,000 W 범위의 RF 전력으로 생성될 수 있다. 플라스마는 반응기 자체 내에서 생성되거나 존재할 수 있다. 플라스마는 이중 CCP 또는 ICP 모드 (양쪽 전극에 RF 가 적용됨) 로 생성될 수 있다. 플라스마의 RF 주파수는 200 KHz 내지 1 GHz 범위일 수 있다. 상이한 주파수에서의 상이한 RF 공급원은 커플링될 수 있으며 동일한 전극에 적용될 수 있다. 플라스마 RF 펄싱 (pulsing) 은 분자 단편화 및 기판에서의 반응을 제어하는데 추가로 사용될 수 있다. 당업자는 이러한 플라스마 처리에 적합한 방법 및 장치를 인지할 것이다.
불활성 기체는 또한 플라스마가 유지되도록 반응기에 도입된다. 불활성 기체는 He, Ar, Xe, Kr, Ne, 또는 이의 조합일 수 있다. S-함유 화합물의 증기 및 불활성 기체는 챔버에 도입하기 전에 혼합될 수 있으며, 이때 불활성 기체는 대략 50% v/v 내지 대략 95% v/v 의 생성 혼합물을 포함한다. 대안적으로, S-함유 화합물의 증기가 챔버에 규칙적으로 도입되면서 불활성 기체가 챔버에 연속적으로 도입될 수 있다.
사중극자 질량 분석계, 광학 방출 분광계, FTIR, 또는 기타 라디칼/이온 측정 도구로 활성화 증기를 측정하여, 생성된 종류의 유형 및 수를 결정할 수 있다. 필요시, 증기 및/또는 불활성 기체의 유량을 조정하여, 생성된 라디칼 종류의 수를 증가시키거나 감소시킬 수 있다.
개시된 S-함유 화합물은 반응 챔버에 또는 반응 챔버 내에 도입되기 전에 다른 기체와 혼합될 수 있다. 바람직하게는, 기체는 챔버에 도입하기 전에 혼합되어, 유입 기체의 균일한 농도를 제공할 수 있다. 또 다른 대안예에서, S-함유 화합물의 증기는 예컨대 둘 이상의 기체가 반응하는 경우, 다른 기체와 관계없이 챔버에 도입될 수 있다.
예시적 기체는 비제한적으로, 산화제 예컨대 O2, O3, CO, CO2, NO, N2O, NO2, 및 이의 조합을 포함한다. S-함유 화합물의 증기 및 산화제는 챔버에 도입되기 전에 함께 혼합될 수 있다. 대안적으로, 산화제는 챔버에 연속적으로 도입될 수 있으며 S-함유 화합물의 증기는 챔버에 규칙적으로 도입될 수 있다. 산화제는 대략 5% v/v 내지 대략 100% v/v 의, 챔버에 도입된 혼합물 (100% v/v 는 연속 도입 대안예에 대해 순수 산화제가 도입되는 것을 나타냄) 을 포함할 수 있다.
S-함유 화합물의 증기와 혼합될 수 있는 기타 예시적 기체는 추가적인 에칭 기체, 예컨대 cC4F8, C4F8, C4F6, CF4, CH3F, CF3H, CH2F2, COS, CS2; CF3I; C2F3I; C2F5I; SO2; 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐; 시스-1,1,1,4,4,4-헥사플루오로-2-부텐; 헥사플루오로이소부텐; 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4); 펜타플루오로시클로부탄 (1,1,2,2,3-); 테트라플루오로시클로부탄 (1,1,2,2-); 또는 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4) 을 포함한다. S-함유 화합물의 증기 및 에칭 기체는 챔버에 도입하기 전에 혼합될 수 있다. 에칭 기체는 대략 1% v/v 내지 대략 99.9% v/v 의, 챔버에 도입된 혼합물을 포함할 수 있다.
Si-함유층 및 활성화 증기는 반응하여, 반응기로부터 제거되는 휘발성 종류를 형성한다. 비결정성 탄소 마스크, 반사방지 코팅 및 포토레지스트 층은 개시된 황-함유 화합물의 증기와 덜 반응성일 수 있다.
반응기 내의 온도 및 압력은 규소-함유층이 활성화된 S-함유 기체와 반응하기에 적합한 조건에서 유지된다. 예를 들어, 반응기에서의 압력은 에칭 매개변수에 따라 필요한 바와 같이, 대략 0.1 mTorr 내지 대략 1000 Torr, 바람직하게는 대략 1 mTorr 내지 대략 10 Torr, 보다 바람직하게는 대략 10 mTorr 내지 대략 1 Torr, 보다 바람직하게는 대략 10 mTorr 내지 대략 100 mTorr 로 유지될 수 있다. 마찬가지로, 반응기에서의 기판 온도는 대략 -196℃ 내지 대략 500℃, 바람직하게는 -120℃ 내지 대략 300℃, 보다 바람직하게는 -10℃ 내지 대략 40℃ 범위일 수 있다. 챔버 벽 온도는 공정 요구사항에 따라 대략 -196℃ 내지 대략 300℃ 범위일 수 있다.
Si-함유층과 플라스마 활성화 S-함유 화합물 사이의 반응은 기판으로부터의 Si-함유층 제거를 초래한다. 질소, 산소 및/또는 탄소 원자는 또한 Si-함유층에 존재할 수 있다. 제거는 플라스마 이온으로부터의 Si-함유층의 물리적 스퍼터링 (플라스마에 의해 가속화됨) 및/또는 Si 를 휘발성 종류, 예컨대 SiFx (이때 x 는 1-4 범위임) 로 변환시키기 위한 플라스마 종류의 화학적 반응으로 인한 것이다.
S-함유 화합물의 플라스마 활성화 증기는 바람직하게는, SiO 및 SiN 의 교대 층을 통한 에치 및 마스크에 대해 높은 선택성을 나타내어, 휨이 없는 수직 에치 프로필을 초래하는데, 이는 3D NAND 적용물에 있어서 중요한 것이다. 다른 적용물, 예컨대 DRAM 및 2D NAND 에 대하여, 예를 들어 S-함유 화합물의 플라스마 활성화 증기는 SiN 으로부터 SiO 를 선택적으로 에칭할 수 있다. S-함유 화합물의 플라스마 활성화 증기는 바람직하게는 마스크 층, 예컨대 비결정성 탄소, 포토레지스트, 폴리실리콘 또는 탄화규소로부터; 또는 금속 접촉 층, 예컨대 Cu 로부터; 또는 폴리실리콘 부위 또는 SiGe 로 이루어지는 채널 부위로부터 SiO 및/또는 SiN 을 선택적으로 에칭한다.
개시된 S-함유 화합물을 사용하는 개시된 에칭 공정으로, Si-함유층에서 채널 홀, 게이트 트렌치, 스테어케이스 접촉부, 커패시터 홀, 접촉 홀 등이 생성된다. 생성된 애퍼쳐는 대략 10:1 내지 대략 100:1 범위의 종횡비 및 대략 40 nm 내지 대략 50 nm 범위의 직경을 가질 수 있다. 예를 들어, 당업자는 채널 홀 에칭이 60:1 초과의 종횡비를 갖는 Si-함유층에서의 애퍼쳐를 생성시킨다는 것을 인지할 것이다.
한 비제한적인 예시 플라스마 에칭 공정에서, C2F3H3S 의 증기는 제어된 기체 흐름 장치를 사용하여 200 mm 이중 CCP 플라스마 에칭 도구에 도입된다. 장치는 원하는 분자의 증기를 전달하기 위한 불활성 기체 흐름을 갖는 질량 유량계 또는 버블러 (bubbler) 설계일 수 있다. 고비등점 분자의 경우, Brooks Automation (No. GF120XSD), MKS Instruments 사로부터의 특정 저압 강하 질량 유량계 등을 사용할 수 있다. 플라스마 에칭 도구의 압력은 대략 30 mTorr 에서 설정된다. 상기 화합물의 증기압이 실온에서 대략 400 torr 이므로, 기체 공급원 가열은 필요하지 않다. 2 개 CCP 전극 사이의 거리를 1.35 cm 에서 유지하고 상부 전극 RF 전력을 750 W 에서 고정시킨다. 하부 전극 RF 전력을 가변화시켜 분자 성능을 분석한다. 플라스마 에칭 도구는 Si-함유층을 갖는 기판을 그에 함유하는 챔버를 포함한다. 아르곤은 250 sccm 유량으로 챔버에 독립적으로 도입된다. C2H3F3S 는 15 sccm 에서 챔버에 독립적으로 도입된다. O2 는 최적의 에칭 조건이 결정되도록 0-20 sccm 에서 챔버에 독립적으로 도입된다.
실시예
하기의 비제한적 실시예를 제공하여 본 발명의 구현예를 추가로 설명한다. 그러나, 실시예는 총괄하는 것으로 의도되지 않으며 본원에 기재된 본 발명의 범주를 제한하는 것으로 의도되지 않는다
실시예 1
Sigma Aldrich 사로부터 구입한 C2H3F3S (2,2,2-트리플루오로에탄티올 또는 F3C-CH2-SH) 의 분석을 질량 분석법 (MS) 에 의해 수행하여 전자 충격 이온화를 연구하였다. 질량 분석계 챔버 및 사중극자 질량 분석계 (Hiden Analytical Inc.) 검출기를 통해 C2H3F3S 에칭액을 흘려, 전자 에너지의 함수로서 에칭 기체로부터의 단편을 연구하였다. 플라스마 종류 분획물의 부피 (Torr) 대 에너지 (eV) 를 플롯팅하는 생성된 MS 그래프를 도 3 에 나타낸다. 도 3 은 C2H3F3S 에 대한 주요 단편이 CH3S 및 CHS 임을 나타낸다. 이러한 단편은 불소가 결핍되어 있으며 따라서 기판에 도달시 용이하게 중합된다.
재료 양립성 시험을 또한 C2H3F3S 에 대해 수행하였다. C2H3F3S 의 증기를, 스테인레스 스틸, 니켈, 알루미늄, PCTFE (폴리클로로트리플루오로에틸렌), PVDF (폴리비닐리딘 플루오라이드), 및 PTFE (폴리테트라플루오로에틸렌) 의 샘플을 갖는 진공 스테인레스 스틸 용기에 도입하고 그 안에서 단리하였다. 따라서, 단리 후 용기 내의 압력은 대략 C2H3F3S 의 증기압이었다 (20℃ 에서 0.55 bar). 용기를 상기 압력 및 실온에서 1 개월 동안 유지시켰다. 샘플의 열화 (degradation) 는 관찰되지 않았다.
실시예 2
SynQuest 사로부터 구입한 C2F4S2 (2,2,4,4-테트라플루오로-1,3-디티에탄) 의 분석을 질량 분석법 (MS) 에 의해 수행하여 전자 충격 이온화를 연구하였다. 실시예 1 에서 사용한 동일한 질량 분석계 챔버 및 사중극자 질량 분석계 검출기를 통해 C2F4S2 에칭액을 흘려, 전자 에너지의 함수로서 에칭 기체로부터의 단편을 연구하였다. 플라스마 종류 분획물의 부피 (Torr) 대 에너지 (eV) 를 플롯팅하는 생성된 MS 그래프를 도 4 에 나타낸다. 도 4 는 C2F4S2 에 대한 주요 단편이 CFS 및 CF2S 임을 나타낸다. 이러한 단편은 단편에 황을 가지며 따라서 기판에 도달시 중합될 수 있다.
비교예 1
Synquest 사로부터 구입한 C2F6S2 (비스(트리플루오로메틸)디술피드) 의 MS 분석을 수행하여 전자 충격 이온화를 연구하였다. 실시예 1 및 2 에서 사용한 동일한 질량 분석계 챔버 및 사중극자 질량 분석계 (Hiden Analytical Inc.) 검출기를 통해 C2F6S2 에칭액을 흘려, 전자 에너지의 함수로서 에칭 기체로부터의 단편을 연구하였다. 플라스마 종류 분획물의 부피 (Torr) 대 에너지 (eV) 를 플롯팅하는 생성된 MS 그래프를 도 5 에 나타낸다.
도 3 4 도 5 와 비교하여, C2F6S2 에 의한 것보다 C2H3F3S 및 C2F4S2 에 의해 더 큰 존재도의 황 함유 단편이 생성되었다. 이는 C2H3F3S 및 C2F4S2 가, 플라스마 에칭 공정 동안 평면 및 수직층 상의 패시베이션 필름이 향상된, 더 많은 황을 함유하는 플라스마 종류를 제공할 것임을 의미한다. 에칭에 대해 보다 에치 저항성이 있는 황 필름은 보다 양호한 에치 프로필을 초래할 수 있고 높은 종횡비 에칭을 가능하게 한다.
추가로, 0.1:1 내지 3:1 범위의 F:C 비는 에칭되는 구조의 측벽 상 증진된 중합체 형성에 필요하다 (도 1a 참조). C2H3F3S 및 C2F4S2 모두에 대한 질량 분석 종류는, C2F6S2 에 대한 종류와 비교하여 더 낮은 F:C 비를 나타낸다. 그 결과, 이들 두 분자는 더 강한 중합체 특성을 선호할 수 있다.
재료 양립성 시험을 또한 C2F6S2 에 대해 수행하였다. C2F6S2 의 증기를 스테인레스 스틸, 니켈, 알루미늄, PCTFE (폴리클로로트리플루오로에틸렌), PVDF (폴리비닐리딘 플루오라이드), 및 PTFE (폴리테트라플루오로에틸렌) 의 샘플을 갖는 진공 스테인레스 스틸 용기에 도입하고 그 안에서 단리하였다. 따라서, 단리 후 용기 내의 압력은 대략 C2F6S2 의 증기압이었다 (20℃ 에서 0.6 bar). 용기를 상기 압력 및 실온에서 1 개월 동안 유지시켰다. 샘플의 열화는 관찰되지 않았다.
실시예 3
1x1 cm2 Si 절취 시편에서 C2H3F3S 으로 플라스마 증기 침적 시험을 수행하였다. 시판 LAM 4520 XLE 에칭기에서 시험을 수행하였다 (도 6 에서 모식적으로 나타냄). 에칭기는 개수 밀도 및 이온 에너지의 독립적 제어를 가능하게 하는 2 개의 8 인치 전극이 장착된 이중 주파수 축전 결합 플라스마 반응기이다. 상부 전극을 27 MHz 전력 공급 기구 (전력원) 에 연결하여, 개수 밀도가 독립적으로 제어되게 하였다. Si 절취 시편을 2 MHz 전력 공급 기구 (바이어스 전력) 에 연결된 온도 제어 하부 전극 위에 두어, 이온 에너지가 독립적으로 제어되게 하였다. 상부 전극 상의 8 인치 실리콘 샤워헤드는, 플라스마 공정 동안 공급 기체의 균일한 분포를 가능하게 하였다.
250 sccm 의 Ar 기체를 통한 15 sccm C2H3F3S 를 60 초 동안 샤워헤드를 통해, 750W/0W 바이어스, 30 mTorr 압력, 및 샤워헤드의 하부 표면과 정전 척 (electrostatic chuck) 의 상부 표면 사이의 1.35 cm 틈을 갖는 에칭기에 도입하였다. 90 nm 중합체 필름을 Si 절취 시편 상에 침적하였다 (주사 전자 현미경 (SEM) 에 의해 3 개 지점에서 측정). 그 결과, 침적 속도는 대략 90 nm/분이었다. 샘플을 X-선 광전자 분광 (XPS) 분석을 위해 발송하였다. X 축 상의 원자의 전자 결합 에너지 대 Y 축 상의 계수 (검출된 전자의 수) 를 플롯팅하는 생성 XPS 그래프를 도 7 에 나타낸다. 도 7 의 와이드 스캔 (wide scan) 원소 분석은 C, F, O 및 S 피크, 보다 특히 대략 228 ev 에서의 S 2s 피크 및 대략 164 eV 에서의 S 2p 피크의 존재를 나타낸다.
침적된 필름의 일부 부분은 분말성 재료를 포함하였다 (도 8A 8B 참조). 침적된 균일한 필름은 하기 도 8A 8B 에서의 분말 입자에서 관찰될 수 있다. 1500 W 의 바이어스 전력이 적용되는 경우에도, 산소가 첨가되지 않았을 때, 분말성 재료가 Si 웨이퍼에서 관찰되었다. 당업자는 중합체 및 분말 제형이 바이어스 전력 및/또는 산소 유량과 같은 공정 매개변수를 변화시킴으로써 제어될 수 있다는 것을 인지할 것이다.
실시예 4
플라스마 증기 침적 시험을 1x1 cm2 Si 절취 시편에서 C2F4S2 로 수행하였다. 시험을 실시예 3 에서 사용하고 도 6 에서 모식적으로 나타낸 동일한 시판 LAM 4520 XLE 에칭기에서 수행하였다. 상부 전극을 27 MHz 전력 공급 기구 (전력원) 에 연결하여, 개수 밀도가 독립적으로 제어되게 하였다. Si 절취 시편을 2 MHz 전력 공급 기구 (바이어스 전력) 에 연결된 온도 제어 하부 전극 위에 두어, 이온 에너지가 독립적으로 제어되게 하였다. 상부 전극 상의 8 인치 실리콘 샤워헤드는, 플라스마 공정 동안 공급 기체의 균일한 분포를 가능하게 하였다.
250 sccm 의 Ar 기체를 통한 15 sccm C2F4S2 를 60 초 동안 샤워헤드를 통해, 750W/0W 바이어스, 30 mTorr 압력, 및 샤워헤드의 하부 표면과 정전 척의 상부 표면 사이의 1.35 cm 틈을 갖는 에칭기에 도입하였다. 75 nm 중합체 필름을 Si 절취 시편 상에 침적하였다 (SEM 에 의해 3 개 지점에서 측정). 그 결과, 침적 속도는 대략 75 nm/분이었다.
비교예 2
플라스마 증기 침적 시험을 1x1 cm2 Si 절취 시편에서 C2F6S2 로 수행하였다. 250 sccm 의 Ar 기체를 통한 15 sccm C2F6S2 를 60 초 동안 750W/0W 바이어스, 30 mTorr 압력, 및 1.35 cm 틈을 갖는 도 6 의 에칭기에 도입하였다. 필름은 침적되지 않았다. 샘플을 XPS 분석을 위해 배송하였다. 생성된 XPS 그래프를 도 9 에 나타내며, 이는 단지 Si 및 O 피크, 보다 특히 대략 156 ev 에서의 Si 2s 피크 및 대략 105 eV 에서의 Si 2p 피크를 나타낸다. 도 9 에서의 C 또는 S 가 보호성 중합체가 형성되지 않았음을 나타낸다는 증거는 없다. 침적의 결여는 플라스마 에칭 공정 동안 충분한 패시베이션을 제공하지 않을 것이며, 따라서 에칭된 구조에서의 높은 휨을 초래할 수 있다. 그 결과, C2F6S2 에칭액은 프로필 제어 또는 측벽 패시베이션을 필요로 하는 적용물에 유용하지 않을 것이다.
실시예 5
양면 카본 테이프를 사용하여 200 mm Si 운반체 웨이퍼에 붙여진 4 개의 1 x 1 cm2 절취 시편에서 C2H3F3S 로 에칭 실험을 수행하였다. 조사한 4 개의 기판 재료는 산화규소 (SiO2), 질화규소 (SiN), 폴리실리콘 (p-Si) 및 비결정성 탄소 (a-C) 였다. 30 mTorr 의 압력, 750 W (27 MHz) 의 전력원 및 1500 W (2 MHz) 의 바이어스 전력으로 설정한 도 6 의 에칭기에서 에칭 시험을 수행하였다. 공급 혼합물은 250 sccm 의 Ar 및 15 sccm 의 C2H3F3S 를 함유하였다. 산소 (O2) 유량은 0 내지 15 sccm 으로 가변적이었다. 엘립소미터 (ellipsometer) 를 사용하여 에칭 속도를 측정하고, 공정 시간의 함수로서 필름 두께에서의 변화를 측정하여 SEM 에 의해 침적 속도를 측정하였다.
C2H3F3S 를 사용하는 SiO2, SiN, p-Si 및 a-C 의 생성 에칭 속도를 sccm 으로 산소 유량의 함수로서 플롯팅하고 도 10 에서 나타낸다. 양의 y-축은 에칭 속도를 나타내며 음의 y-축은 침적 속도를 나타낸다. x-축은 O2 유량이다 (sccm). 산소가 첨가됨에 따라, SiO2:a-C 선택성은 급격히 감소하며, a-C 에칭 속도가 SiO2 및 SiN 필름에 대한 것보다 더 큰 조건이 존재한다.
도 10 에서 설명한 바와 같이, 산소가 첨가되지 않은 경우 (0 sccm O2 조건), SiO2 및 SiN 의 에칭 속도는 서로 근접한 한편 (선택성 ~1:1, 및 에칭 속도 ~300 nm/분), 균일한 침적 (분말 부재) 이 p-Si 및 a-C 기판에 대해 관찰된다. 그 결과, 상기 화합물은 SiO2 및 SiN 의 에칭 속도가 유사하고 p-Si 및 a-C 의 에칭 속도가 낮은 것이 요구되는 3D NAND 공정에 적합할 수 있다. SiO2 및 SiN 에 대한 유사한 에칭 속도는 감소된 휨 및 각면 (faceting) 을 초래할 수 있다. p-Si 및 a-C 에 대한 낮은 에칭 속도는 마스크 재료 보존을 도울 수 있다. 그러나, SiO2 및 SiN 의 에칭 속도는 표준 cC4F8 기체에 대한 에칭 속도 (550 nm/분 초과) 보다 더 낮다. 추가적인 에칭 기체, 예컨대 CF4 를 첨가하여 에칭 속도를 증가시킬 수 있다 (실시예 6 참조).
비교예 3
실시예 5 에서 기재한 유사한 공정 조건 하에 O2 유량의 함수로서 cC4F8 로 에칭 실험을 수행하였다. 결과를 도 13 에 나타낸다. 도 13 에서 설명한 바와 같이, p-Si 및 a-C 에 대한 SiO2 및 SiN 의 선택성은 산소를 첨가하지 않는 경우 C2H3F3S 보다 더 낮다.
비교예 4
실시예 5 에서 기재한 유사한 공정 조건 하에 O2 유량의 함수로서 C4F6 으로 에칭 실험을 수행하였다. 결과를 도 14 에 나타낸다. 도 14 에서 설명한 바와 같이, p-Si 및 a-C 에 대한 SiO2 및 SiN 의 선택성은 C2H3F3S 에 대한 것 보다 C4F6 에 대해 더 높다. 그러나, C4F6 의 SiO2:SiN 선택성은 실시예 5 에서보다 더 높아, C4F6 이 일부 적용물에 대하여 덜 적합하게 만든다. 한편, 도 10 에서 나타낸 바와 같이, C2H3F3S 분자는 산소를 첨가하지 않는 경우 SiO2 및 SiN 에 대해 유사한 에칭 속도를 제공한다.
실시예 6
cC4F8 의 성능과 비슷하게 SiO2/SiN 필름의 C2H3F3S 에칭 속도를 향상시키기 위해서, CF4 를 250 sccm Ar 및 15 sccm C2H3F3S 의 에칭 기체 혼합물에 첨가하였다. CF4 첨가는 0 내지 15 sccm 으로 가변적이었다. 도 11 에서 설명한 바와 같이, 공정 기체 혼합물에 CF4 를 첨가하는 것은 SiO2/SiN 의 에칭 속도를 400 nm/분 및 그보다 높이 증가시키는 한편, p-Si 및 a-C 의 선택성을 유지시킴으로써 이것이 3D NAND 적용물에 대한 우수한 분자이게 만든다.
비교예 5
CF4 를 250 sccm Ar 및 15 sccm cC4F8 의 에칭 기체 혼합물에 첨가한 에칭 실험을 또한 수행하였고, 결과를 도 15 에 나타낸다. 도 15 에서 설명한 바와 같이, 모든 4 개 기판의 에칭 속도가 증가하였으며 그 결과로서 선택성에 있어서는 개선이 이루어지지 않았다. 한편, C2H3F3S 를 사용하여, SiO2 및 SiN 의 에칭 속도가 증가하였으며 이에 따라 p-Si 및 a-C 에 대한 선택성이 증가한다.
실시예 7
양면 카본 테이프를 사용하여 200 mm Si 운반체 웨이퍼에 붙여진 4 개의 1 x 1 cm2 절취 시편에서 C2F4S2 로 에칭 실험을 수행하였다. 조사한 4 개의 기판 재료는 산화규소 (SiO2), 질화규소 (SiN), 폴리실리콘 (p-Si) 및 비결정성 탄소 (a-C) 였다. 30 mTorr 의 압력, 750 W (27 MHz) 의 전력원 및 1500 W (2 MHz) 의 바이어스 전력으로 설정한 도 6 의 에칭기에서 에칭 시험을 수행하였다. 공급 혼합물은 250 sccm 의 Ar 및 15 sccm 의 C2F4S2 를 함유하였다. 산소 (O2) 유량은 0 내지 15 sccm 로 가변적이었다. 엘립소미터를 사용하여 에칭 속도를 측정하고, 공정 시간의 함수로서 필름 두께에서의 변화를 측정하여 SEM 을 사용하여 침적 속도를 측정하였다.
C2F4S2 를 사용하는 SiO2, SiN, p-Si 및 a-C 의 생성 에칭 속도를 sccm 으로 산소 유량의 함수로서 플롯팅하고 도 12 에서 나타낸다. 양의 y-축은 에칭 속도를 나타내며 음의 y-축은 침적 속도를 나타낸다. x-축은 O2 유량이다 (sccm). 산소가 첨가됨에 따라, SiO2 의 에칭 속도는 감소하면서, p-Si 및 a-C 에 대한 에칭 속도가 증가한다. 그 결과, a-C 및 p-Si 에 대한 SiO2 의 선택성은 산소 유량의 증가에 따라 감소한다.
도 12 에서 설명한 바와 같이, SiO2, SiN 및 p-Si 에 대한 에칭 속도는 15 sccm O2 유량에서 대략 동일하다. 이는 C2F4S2 가 이러한 유량에서 주된 에칭액으로서 유리 불소를 생성하여, C2F4S2 를 고도의 중합 기체, 예컨대 C4F6 및 C5F8 에 대한 양호한 첨가제로 만든다는 것을 나타낼 수 있다.
본 발명의 구현예를 나타내고 기재하지만, 본 발명의 취지 및 교시를 벗어나는 일 없이 당업자에 의해 수정이 이루어질 수 있다. 본원에 기재된 구현예는 단지 예시적인 것이며 제한하는 것이 아니다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 이는 본 발명의 범주 내에 있다. 따라서 보호 범주는 본원에 기재된 구현예에 제한되는 것이 아니라, 뒤이은 특허청구범위에 의해서만 제한되며, 이의 범주는 특허청구범위 주제의 모든 등가물을 포함할 수 있다.

Claims (15)

  1. 기판 상에 제 1 에칭 층 및 제 2 에칭 층의 교차 층(alternating layer)을 갖고, 상기 교차 층 상에 하드마스크 층을 갖는, 3D NAND 플래시 메모리를 제조하는 방법으로서,
    하드마스크 층에 하드마스크 패턴을 형성하는 단계; 및
    플라스마 반응 챔버에서, 하기로 이루어지는 군에서 선택되는 에칭 기체를 사용하여, 하드마스크 층에 비해 제 1 에칭 층 및 제 2 에칭 층의 교차 층을 선택적으로 플라스마 에칭함으로써, 교차 층에 애퍼쳐 (aperture)를 형성하기 위해 하드마스크 패턴을 사용하는 단계를 포함하고:
    C2F4S2 (CAS 1717-50-6), F3CSH (CAS 1493-15-8), F3C-CF2-SH (CAS 1540-78-9), F3C-CH2-SH (CAS 1544-53-2), CHF2-CF2-SH (CAS 812-10-2), CF3-CF2-CH2-SH (CAS 677-57-6), F3C-CH(SH)-CF3 (CAS 1540-06-3), F3C-S-CHF2 (CAS 371-72-2), F3C-CF2-S-CF2-CF3 (CAS 155953-22-3), F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8), c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5), c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8), c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9), 및 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0),
    제 1 에칭 층이 제 2 에칭 층과 상이한 재료를 포함하고,
    교차 층은 Si-함유층인, 방법.
  2. 제 1 항에 있어서, 교차 층이 산화규소, 질화규소, 폴리 실리콘(poly-Si), 또는 이의 조합의 층을 포함하는, 방법.
  3. 제 1 항에 있어서, 제 1 에칭 층이 산화규소 층을 포함하고 제 2 에칭 층이 질화규소 층을 포함하거나, 또는, 제 1 에칭 층이 질화규소 층을 포함하고 제 2 에칭 층이 산화규소 층을 포함하는, 방법.
  4. 제 1 항에 있어서, 하드마스크 층이 비결정성 탄소 및 금속 층을 포함하는, 방법.
  5. 제 1 항에 있어서, 에칭 기체가 C2F4S2 (CAS 1717-50-6)인, 방법.
  6. 제 1 항에 있어서, 에칭 기체가 F3CSH (CAS 1493-15-8), F3C-CF2-SH (CAS 1540-78-9), F3C-CH2-SH (CAS 1544-53-2), CHF2-CF2-SH (CAS 812-10-2), CF3-CF2-CH2-SH (CAS 677-57-6), 및 F3C-CH(SH)-CF3 (CAS 1540-06-3) 로 이루어지는 군에서 선택되는, 방법.
  7. 제 1 항에 있어서, 에칭 기체가 F3C-S-CHF2 (CAS 371-72-2), F3C-CF2-S-CF2-CF3 (CAS 155953-22-3), 및 F3C-CF2-CF2-S-CF2-CF2-CF3 (CAS 356-63-8) 로 이루어지는 군에서 선택되는, 방법.
  8. 제 1 항에 있어서, 에칭 기체가 c(-S-CF2-CF2-CHF-CF2-)(CAS 1035804-79-5), c(-S-CF2-CHF-CHF-CF2-)(CAS 30835-84-8), c(-S-CF2-CF2-CF2-CF2-CF2-)(CAS 24345-52-6), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 R)(CAS 1507363-75-8), c(-S-CFH-CF2-CF2-CFH-)(2 R, 5 S)(CAS 1507363-76-9), 및 c(-S-CFH-CF2-CF2-CH2-)(CAS 1507363-77-0) 로 이루어지는 군에서 선택되는, 방법.
  9. 제 1 항에 있어서, 에칭 기체가, 하드마스크 층에 비해 제 1 에칭 층 및 제 2 에칭 층의 교차 층을 개선된 선택성으로 플라스마 에칭하는, 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서, 애퍼쳐가 10:1 내지 100:1 의 종횡비를 갖는, 방법.
  11. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서, 플라스마 반응 챔버에 산화제를 도입하는 것을 추가로 포함하고, 산화제가 O2, O3, CO, CO2, NO, N2O, NO2, 및 이의 조합으로 이루어지는 군에서 선택되는, 방법.
  12. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서, 플라스마 반응 챔버에 불활성 기체를 도입하는 것을 추가로 포함하고, 불활성 기체가 He, Ar, Xe, Kr, Ne, 및 이의 조합으로 이루어지는 군에서 선택되는, 방법.
  13. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서, 제 2 에칭 기체를 도입하는 것을 추가로 포함하고, 제 2 에칭 기체가 cC4F8, C4F8, cC5F8, C4F6, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, SO2, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐, 시스-1,1,1,4,4,4-헥사플루오로-2-부텐, 헥사플루오로이소부텐, 헥사플루오로시클로부탄 (트랜스-1,1,2,2,3,4), 펜타플루오로시클로부탄 (1,1,2,2,3-), 테트라플루오로시클로부탄 (1,1,2,2-), 및 헥사플루오로시클로부탄 (시스-1,1,2,2,3,4) 로 이루어지는 군에서 선택되는, 방법.
  14. 기판 상에 제 1 에칭 층 및 제 2 에칭 층의 교차 층(alternating layer)을 갖고, 상기 교차 층 상에 하드마스크 층을 갖는, 3D NAND 플래시 메모리를 제조하는 방법으로서,
    하드마스크 층에 하드마스크 패턴을 형성하는 단계; 및
    에칭 기체 C2F4S2 (CAS 1717-50-6) 를 사용하여, 하드마스크 층에 비해 제 1 에칭 층 및 제 2 에칭 층의 교차 층을 선택적으로 플라스마 에칭함으로써, 교차 층에 애퍼쳐 (aperture)를 형성하기 위해 하드마스크 패턴을 사용하는 단계를 포함하고,
    제 1 에칭 층이 제 2 에칭 층과 상이한 재료를 포함하고,
    교차 층은 Si-함유층인, 방법.
  15. 기판 상에 제 1 에칭 층 및 제 2 에칭 층의 교차 층(alternating layer)을 갖고, 상기 교차 층 상에 하드마스크 층을 갖는, 3D NAND 플래시 메모리를 제조하는 방법으로서,
    하드마스크 층에 하드마스크 패턴을 형성하는 단계; 및
    에칭 기체 F3C-CH2-SH (CAS 1544-53-2) 를 사용하여, 하드마스크 층에 비해 제 1 에칭 층 및 제 2 에칭 층의 교차 층을 선택적으로 플라스마 에칭함으로써, 교차 층에 애퍼쳐 (aperture)를 형성하기 위해 하드마스크 패턴을 사용하는 단계를 포함하고,
    제 1 에칭 층이 제 2 에칭 층과 상이한 재료를 포함하고,
    교차 층은 Si-함유층인, 방법.
KR1020227015749A 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법 KR102480249B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361875321P 2013-09-09 2013-09-09
US61/875,321 2013-09-09
KR1020217029700A KR102400414B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법
PCT/US2014/054780 WO2015035381A1 (en) 2013-09-09 2014-09-09 Method of etching semiconductor structures with etch gases

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217029700A Division KR102400414B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법

Publications (2)

Publication Number Publication Date
KR20220065902A KR20220065902A (ko) 2022-05-20
KR102480249B1 true KR102480249B1 (ko) 2022-12-21

Family

ID=52629027

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227015749A KR102480249B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법
KR1020217029700A KR102400414B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법
KR1020167009222A KR102305297B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020217029700A KR102400414B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법
KR1020167009222A KR102305297B1 (ko) 2013-09-09 2014-09-09 에칭 기체로 반도체 구조를 에칭하는 방법

Country Status (7)

Country Link
US (2) US9773679B2 (ko)
JP (3) JP6423885B2 (ko)
KR (3) KR102480249B1 (ko)
CN (2) CN111261512B (ko)
SG (2) SG11201601839RA (ko)
TW (2) TWI612182B (ko)
WO (1) WO2015035381A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10280519B2 (en) * 2016-12-09 2019-05-07 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
KR102504833B1 (ko) * 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
US10636650B2 (en) 2018-01-15 2020-04-28 Applied Materials, Inc. Argon addition to remote plasma oxidation
KR20240037370A (ko) * 2018-03-16 2024-03-21 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
TWI804638B (zh) * 2018-06-22 2023-06-11 日商關東電化工業股份有限公司 使用含硫原子之氣體分子之電漿蝕刻方法
TWI808274B (zh) * 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
TW202024047A (zh) * 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
CN112368805A (zh) * 2018-12-18 2021-02-12 玛特森技术公司 使用含硫工艺气体的含碳硬掩模去除工艺
WO2020008703A1 (ja) * 2019-04-19 2020-01-09 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN114270476A (zh) * 2019-06-24 2022-04-01 朗姆研究公司 选择性碳沉积
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
JP2021106212A (ja) * 2019-12-26 2021-07-26 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
WO2023234305A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法
WO2023234304A1 (ja) * 2022-05-31 2023-12-07 株式会社レゾナック エッチング方法
WO2024019124A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100255403B1 (ko) * 1992-06-29 2000-06-01 이데이 노부유끼 드라이에칭방법
KR100603654B1 (ko) 1997-12-31 2006-07-24 알라이드시그날 인코포레이티드 플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법
WO2013118660A1 (ja) * 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3230284B2 (ja) 1992-07-21 2001-11-19 ソニー株式会社 位相シフト・マスクの製造方法
US5431777A (en) * 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
JP3282243B2 (ja) 1992-10-31 2002-05-13 ソニー株式会社 ドライエッチング方法
JP3297939B2 (ja) * 1992-11-17 2002-07-02 ソニー株式会社 ドライエッチング方法
JPH07211694A (ja) 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
JPH11111680A (ja) * 1997-09-30 1999-04-23 Yasuhiro Horiike エッチング方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP3611723B2 (ja) 1998-07-22 2005-01-19 セントラル硝子株式会社 エッチングガス
JP3555737B2 (ja) 1998-07-22 2004-08-18 セントラル硝子株式会社 クリーニングガス
KR100574923B1 (ko) * 1999-07-21 2006-05-02 삼성전자주식회사 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
US6540930B2 (en) * 2001-04-24 2003-04-01 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP3672900B2 (ja) * 2002-09-11 2005-07-20 松下電器産業株式会社 パターン形成方法
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
WO2006012297A1 (en) * 2004-06-29 2006-02-02 Unaxis Usa Inc. Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
JP4594988B2 (ja) * 2004-10-27 2010-12-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
WO2007116515A1 (ja) * 2006-04-07 2007-10-18 Philtech Inc. 半導体装置及びその製造方法、ドライエッチング方法、並びに配線材料の作製方法
KR101442308B1 (ko) * 2007-09-28 2014-09-22 제온 코포레이션 플라즈마 에칭 방법
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
CN101625966A (zh) * 2008-07-11 2010-01-13 东京毅力科创株式会社 基板处理方法
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP5862012B2 (ja) * 2010-02-01 2016-02-16 セントラル硝子株式会社 ドライエッチング剤及びドライエッチング方法
CN101800175B (zh) * 2010-02-11 2011-07-20 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100255403B1 (ko) * 1992-06-29 2000-06-01 이데이 노부유끼 드라이에칭방법
KR100603654B1 (ko) 1997-12-31 2006-07-24 알라이드시그날 인코포레이티드 플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법
WO2013118660A1 (ja) * 2012-02-09 2013-08-15 東京エレクトロン株式会社 半導体製造装置の製造方法及び半導体製造装置

Also Published As

Publication number Publication date
KR102400414B1 (ko) 2022-05-19
CN111261512B (zh) 2024-02-06
JP6676724B2 (ja) 2020-04-08
JP6423885B2 (ja) 2018-11-14
TW201812101A (zh) 2018-04-01
US20160307764A1 (en) 2016-10-20
SG10201807360YA (en) 2018-09-27
US9773679B2 (en) 2017-09-26
CN111261512A (zh) 2020-06-09
SG11201601839RA (en) 2016-04-28
KR20220065902A (ko) 2022-05-20
KR102305297B1 (ko) 2021-09-24
KR20210116713A (ko) 2021-09-27
JP6997237B2 (ja) 2022-02-04
WO2015035381A1 (en) 2015-03-12
US20170352546A1 (en) 2017-12-07
JP2016529740A (ja) 2016-09-23
US10115600B2 (en) 2018-10-30
TW201514341A (zh) 2015-04-16
KR20160054558A (ko) 2016-05-16
JP2019033277A (ja) 2019-02-28
CN105580116A (zh) 2016-05-11
TWI612182B (zh) 2018-01-21
CN105580116B (zh) 2020-02-07
TWI642809B (zh) 2018-12-01
JP2020155773A (ja) 2020-09-24

Similar Documents

Publication Publication Date Title
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant