KR20240037370A - 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들 - Google Patents

유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들 Download PDF

Info

Publication number
KR20240037370A
KR20240037370A KR1020247008299A KR20247008299A KR20240037370A KR 20240037370 A KR20240037370 A KR 20240037370A KR 1020247008299 A KR1020247008299 A KR 1020247008299A KR 20247008299 A KR20247008299 A KR 20247008299A KR 20240037370 A KR20240037370 A KR 20240037370A
Authority
KR
South Korea
Prior art keywords
etching
silicon
providing
gas
etch
Prior art date
Application number
KR1020247008299A
Other languages
English (en)
Inventor
케렌 제이. 카나릭
사만다 시암-화 탄
양 판
제프리 막스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240037370A publication Critical patent/KR20240037370A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 챔버에서 패터닝된 마스크 아래의 스택에 피처들을 에칭하기 위한 방법이 제공된다. 스택은 -20 ℃ 이하의 냉각제 온도를 갖는 냉각제로 냉각된다. 에칭 가스가 에칭 챔버 내로 흐른다. 플라즈마가 에칭 가스로부터 생성된다. 피처들은 패터닝된 마스크에 대해 스택 내로 선택적으로 에칭된다.

Description

유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들{PLASMA ETCHING CHEMISTRIES OF HIGH ASPECT RATIO FEATURES IN DIELECTRICS}
관련된 출원에 대한 교차 참조
본 출원은 2018년 3월 16일에 출원된 미국 특허 가출원 번호 제 62/644,095 호의 이익을 주장하고, 이는 전체 목적들을 위해 참조로서 본 명세서에 인용된다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다.
예를 들어, 반도체 디바이스들의 형성에서, 에칭 층들은 메모리 홀들 또는 라인들 또는 다른 반도체 피처들을 형성하기 위해 에칭될 수도 있다. 일부 반도체 디바이스들은 예를 들어, DRAM (Dynamic Access Random Memory) 에서 커패시터를 형성하기 위해 실리콘 다이옥사이드 (SiO2) 의 단일 스택을 에칭함으로써 형성될 수도 있다. 다른 반도체 디바이스들은 교번하는 실리콘 다이옥사이드 (옥사이드) 및 실리콘 나이트라이드 (나이트라이드) (ONON) 의 이중층, 또는 교번하는 실리콘 다이옥사이드 및 폴리실리콘의 이중층의 스택들을 에칭함으로써 형성될 수도 있다. 이러한 스택들은 메모리 적용예들 및 3차원 “not and”(3D NAND) 게이트들에서 사용될 수도 있다. 본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이들 스택들은 유전체들의 상대적으로 HAR (High Aspect Ratio) 에칭을 요구하는 경향이 있다. 고 종횡비 에칭들에 대해, 목표된 에칭 특성들의 예들은 마스크 (예컨대 비정질 탄소 마스크) 에 고 에칭 선택도, 직선 프로파일들을 갖는 저 측벽 에칭, 및 에칭 프론트에서 고 에칭 레이트이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
본 개시의 목적에 따라 그리고 전술한 것을 달성하기 위해, 에칭 챔버에서 패터닝된 마스크 아래의 스택에 피처들을 에칭하기 위한 방법이 제공된다. 스택은 -20 ℃ 이하의 냉각제 온도를 갖는 냉각제로 냉각된다. 에칭 가스가 에칭 챔버 내로 흐른다. 플라즈마가 에칭 가스로부터 생성된다. 피처들은 패터닝된 마스크에 대해 스택 내로 선택적으로 에칭된다.
본 개시의 이들 및 다른 특징들은 상세한 기술 (description) 에 그리고 이하의 도면들과 함께 아래에 보다 상세하게 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는, 첨부한 도면들의 도면들에 제한이 아니라 예로서 예시된다.
도 1은 일 실시예의 고 레벨 플로우차트이다.
도 2는 일 실시예에서 사용될 수도 있는 에칭 챔버의 개략도이다.
도 3은 일 실시예의 실시에 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
도 4a 및 도 4b는 일 실시예에 따라 프로세싱된 스택의 개략적 단면도들이다.
본 개시는 첨부한 도면들에 예시된 바와 같이 개시의 일부 바람직한 실시예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자들에게 명백할 것이다. 다른 예들에서, 공지된 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
도 1은 일 실시예의 고 레벨 플로우차트이다. 이 실시예에서, 스택이 에칭 챔버 내에 배치된다 (단계 (104)). 스택은 패터닝된 마스크 아래에 배치된다. 스택은 적어도 하나의 유전체 층을 갖는다. 스택은 극저온 (cryogenic) 온도에 있는 냉각제에 의해 냉각된다 (단계 (108)). 에칭 가스가 에칭 챔버 내로 에칭 가스를 흘림으로써 제공된다 (단계 (112)). 에칭 가스는 에칭 플라즈마로 형성된다 (단계 (116)). 스택은 플라즈마에 노출된다 (단계 (120)). 바이어스가 플라즈마로부터 스택으로 이온들을 가속화하도록 제공된다 (단계 (124)). 스택은 에칭 플라즈마에 의해 패터닝된 마스크에 대해 선택적으로 에칭된다 (단계 (128)). 스택은 에칭 챔버로부터 제거된다 (단계 (132)).
고 종횡비 구조체들을 에칭하는 것은 또한 일반적으로 반도체 디바이스들을 생성하기 위해 실리콘 다이옥사이드와 같은 반도체 재료들을 통해 요구된다. 고 종횡비들을 에칭하는 것은 등방성 에칭과 구별되는, 방향성 (이방성) 에칭을 요구한다. 일반적으로, 방향성 에칭은 웨이퍼 표면에 수직으로 가속되는 플라즈마 내의 이온들을 사용하여 달성된다. 예를 들어, 10 내지 5000 eV의 바이어스를 인가하는 것은 플라즈마 내에 존재하는 이온들을 웨이퍼 표면으로 가속할 것이다. 이온들은 플라즈마 에칭을 제공한다.
명세서 및 청구항들에서, 단어 극저온 (cryogenic) 은 “저온 (cold)” 기판 온도들을 지칭한다. 종래의 에칭에 사용된 단어 “저온”은 -20 ℃ 이하를 의미한다. 극저온 에칭의 역사는 1988년으로 거슬러 올라가고, 실리콘 재료들에 대해 가장 많이 연구되었다. 고 종횡비 에칭에서, 방향성을 유지하기 위해 측벽들의 화학적 에칭을 향하는 경향을 제어하는 것이 중요하다. 화학적 에칭은 화학물질이 열 표면 온도에서 탈착할 표면을 갖는 새로운 종을 자발적으로 형성하도록 표면 상에 화학흡착하는 (chemisorbs) 프로세스이다. 예를 들어, 불소는 상온에서 실리콘 테트라플루오라이드 (SiF4) 를 형성하기 위해 실리콘 표면과 자발적으로 반응할 것이다. 이는 화학적 에칭이 본질적으로 등방성이기 때문에 방향성 에칭에서 문제이다―즉, 플라즈마의 화학물질들은 등방성이고, 가시선 (line of sight) 에 기반하여 표면 상에 내려앉을 것이다. 이 화학적 에칭은 측방향 에칭을 유발할 수 있고, 고 종횡비 에칭의 방향성은 손상될 (compromised) 것이다. 실리콘의 고 종횡비 에칭에서, 이는 화학적 에칭을 억제할 Bosch 프로세스 또는 극저온성 에칭의 사용에 의해 관리되었다. 고 종횡비 구조체들 및 실리콘을 에칭하는 가장 일반적인 접근법은 측벽들을 보호하기 위해 에칭 화학물질과 증착 화학물질 사이에서 교번하는, Bosch 프로세스를 사용하는 것이다. 이 유형의 에칭은 통상적으로 -20 ℃ 내지 100 ℃의 적당한 온도들에서 일반적으로 이루어진다. 화학물질들을 증착하는 것의 목적은 측벽들을 보호하는 것이다.
극저온 온도들을 사용하는 이유는 측벽들의 자발적인 화학적 에칭이 억제될 것이고, 따라서 Bosch 프로세스에서 사용된 화학물질들을 증착하는 것이 필요하지 않을 것이라는 것이다. 이러한 극저온 프로세스들은 프로세싱 동안 극저온 온도들로 기판 표면을 유지하는 것의 어려움으로 인해 일반적으로 추구되지 않았다.
실리콘의 고 종횡비 에칭의 문헌에서, 사용된 통상적인 화학물질은 황 헥사플루오라이드 (SF6) 및 산소 (O2) 이고, 불소는 SiF4 또는 실리콘 디플루오로실리콘 (SiF2) 으로 실리콘을 제거하기 위한 반응물질이고, 황 및 산소는 웨이퍼들이 상온으로 되돌아가면 증발할 측벽들의 어느 정도 보호를 제공한다. 이온 에칭은 극저온 에칭에서 지배적인 에칭 프로세스이다. 플루오로카본들은 Bosch 프로세스에서 증착 단계에 사용되지만, 탄소와 함께 실리콘이 에칭을 방해하는 매우 단단한 실리콘 카바이드를 형성할 것이기 때문에, 플루오로카본들은 통상적으로 실리콘에 대해 극저온 온도들에서 사용되지 않는다. 에칭 프론트에서, 실리콘 카바이드는 에칭을 방해하지만, 결국 이온 충격 (bombardment) 에 의해 파괴된다 (broken through). 실리콘 (Si) 의 극저온 에칭의 또 다른 이점은 측벽 보호가 매우 강할 필요는 없다는 것이다. 실리콘의 극저온 에칭은 측벽들을 보호하기 위해 필요한 증착의 양을 감소시킴으로써 에칭 레이트들의 속도를 높이는데 도움을 줄 수 있다.
유전체들의 에칭은 실리콘 에칭과 상이할 수 있다. 예를 들어, 실리콘은 화학 반응들이 SiF4 또는 SiCl2와 같은 실리콘 부산물들을 탈착하기 위해 자발적으로 발생한다는 것을 의미하는, 화학적으로 에칭하는 경향이 있다. 실리콘 나이트라이드 (SiN) 가 질소 트리플루오라이드 (NF3) 와 또한 화학적으로 에칭할 수 있지만, 대조적으로, 실리콘 다이옥사이드는 통상적으로 자발적으로 에칭하지 않는다. 실리콘 다이옥사이드를 에칭하는 것은 대신 탈착을 촉진하기 (hasten) 위한 반응물질들과 함께 이온 유도된다. 또한, 옥사이드 및 나이트라이드와 같은 유전체들은 격자에 실리콘뿐만 아니라 또 다른 원소, 예컨대 산소 또는 질소를 포함한다. 실리콘이 불소, 염소, 또는 브롬과 같은 할로겐들로 자발적으로 에칭할 것이지만, 산소는 할로겐들만으로 안정한 휘발성 종을 쉽게 형성하지 않는다. 상온에서, 옥사이드 내의 산소 제거는 일산화탄소 (CO) 또는 이산화탄소 (CO2) 휘발성 화합물들을 형성하기 위해 탄소, 또는 물 (H2O) 을 형성하기 위해 수소 (H), 또는 휘발성 BxClzOy 화합물들을 형성하기 위해 붕소 클로라이드 (BCl3), 또는 황 다이옥사이드 (SO2) 를 형성하기 위해 황산 (SO) 의 존재 하에 향상된다. 질소 (N) 의 경우에 불소는 NF3로 N, 또는 암모니아 (NH3) 로 H를 제거할 수 있다. 보다 구체적으로, 극저온 온도들에서 실리콘 컴포넌트를 에칭하기 위해, 불소 (F), 염소 (Cl), 브롬 (Br), 및 요오드 (I) 와 같은 할로겐들이 실리콘 컴포넌트를 에칭하기 위해 사용될 수도 있다. 극저온 온도들에서 실리콘 옥사이드의 산소 컴포넌트를 에칭하기 위해, 탄소 (C), 붕소 (B), 수소 (H), 황 (S), 및 질소가 산소 컴포넌트를 에칭하는데 효과적이다. 극저온 온도들에서 실리콘 나이트라이드의 질소 컴포넌트를 에칭하기 위해, H, 및 F가 질소 컴포넌트를 에칭하기 위해 사용될 수도 있다. 극저온 온도들에서 C, H, 산소 (O), 실리콘 (Si), F, 및 S가 패시베이션제들 (passivation agents) 로 사용될 수도 있다는 것을 또한 알게 되었다. 따라서 극저온 온도들에서 유전체 층들을 에칭하기 위해 요구된 화학물질들은 실리콘에 대해 사용된 것들과 상이할 수도 있다.
상온에서 유전체들을 에칭하기 위한 통상적인 화학물질의 예에서, 플루오로카본 화학물질들, 예컨대 옥타플루오로사이클로부탄 (C4F8) 및/또는 헥사플루오로-2-부틴 (C4F6) 이 통상적으로 사용된다. C4F8 및/또는 C4F6는 측벽들을 패시베이팅하고 (passivate) 마스크를 보호할 수 있는 플루오로카본들의 폴리머들을 형성하도록 플라즈마 내에서 단편화된다 (fragment). 반면, 에칭 프론트에서, 폴리머가 너무 두껍지 않은 한, 에칭 프론트에 입사하는 이온들은 옥사이드를 에칭하기 위해 탄소 및 불소를 사용할 것이다. 예를 들어, 탄소는 CO 및 CO2를 형성하기 위해 산소와 결합할 것이다. 불소는 SiF4, SiF2 휘발성 화합물들을 형성하기 위해 실리콘과 결합할 것이다. 측벽들은 입사 이온들을 수용하지 않고, 폴리머는 측벽들을 보호하기 위해 남는다. 옥사이드 층들 및 나이트라이드 층들의 스택들을 에칭하기 위한 3D NAND 적용예들에서, 통상적인 플라즈마 프로세싱 화학물질들은 C4F8, C4F6, O2, 및 플루오로메탄 (CH3F) 일 것이다. C4F8 가스 및 C4F6 가스는 중합하는 플루오로카본들을 생성하도록 플라즈마 내에서 단편화된다. 에칭 프론트에서 탄소 및 불소가 반응물질들이다. O2가 피처의 상단부를 개방하여 유지하기 위해 폴리머를 조절하고 제어하기 위한 효과적인 노브 (knob) 로서 부가된다. CH3F는 H 소스뿐만 아니라 플루오로카본을 제공함으로써 나이트라이드를 에칭하도록 돕는다. 따라서, 상온에서 실리콘을 에칭하는 것과 비교하여, 유전체들의 에칭을 위해, 통상적으로 할로겐 소스뿐만 아니라 탄소 소스 및 수소 소스가 반응물질로 사용된다.
그러나, 상온에서의 에칭은 에칭 레이트와 선택도 및/또는 측벽 프로파일 사이의 트레이드오프 (tradeoff) 를 요구한다. 에칭 레이트가 희박한 (lean) 플루오로카본 폴리머 증착으로 인해 높으면, 측벽들 및 마스크는 충분히 보호되지 않을 수도 있고, 측벽들 상에 유입된 보우 (bow) 가 있을 것이다. 반면, 플루오로카본 폴리머 증착이 측벽들 및 마스크를 보호하기 위해 증가되면, 에칭 레이트는 방해되고 느려진다. 피처의 상단부에서의 증착의 핀치 오프 (pinch off) 가 또한 발생할 수도 있다. 극저온 에칭은 유전체 에칭에 이 트레이드오프의 일부를 파괴하기 위한 기회를 제공한다.
극저온 온도들에서 실리콘의 고 종횡비 에칭의 방대한 연구에도 불구하고, 비교하여, 유전체들의 보다 고 종횡비 에칭의 연구가 훨씬 보다 적었다. 표면 온도가 냉각됨에 따라, 극저온 온도들에서 유전체들을 에칭하기 위한 상이한 화학물질들을 사용한 다양한 실시예들을 제공하는 4 개의 주요 원리들이 있다.
제 1 원리: 실리콘의 고 종횡비 에칭에서, 유전체들의 자발적인 화학적 에칭이 또한 억제될 수도 있다. 그러나, 이 원리는 실리콘 에칭과 비교하여 옥사이드 에칭에 대해 상이하다. 이 차이는 옥사이드 에칭이 화학적으로 에칭되는 경향이 없기 때문이다. 대신, 옥사이드 에칭이 탈착을 진행하기 위해 이온 에너지를 요구하기 때문에, 옥사이드 에칭은 이온 유도된 프로세스로 공지된다. 이온 에너지를 사용하는 에칭은 측벽들에 도달하는 이온들의 편향에 의해 수직 에칭을 제공할 수도 있다. 그러나, 질소는 실리콘과 보다 유사하고, 화학적으로 에칭될 수 있다. 극저온 온도들은 SiN에 대한 측방향 에칭을 감소시키는 것을 도와야 한다. 따라서, 극저온 온도들은 유전체 고 종횡비 에칭에서 화학적 에칭을 감소시키는 것을 도울 수도 있다. 그러나, 실리콘의 경우와 달리, 화학적 에칭의 감소는 극저온 에칭에 대한 가장 중요한 원리가 아닐 것이다. 극저온 온도들이 고 종횡비 유전체 에칭을 위해 중요할 정도는 이하로부터 발생한다: 폴리머 측벽 패시베이션에 대해, 패시베이션 요건들의 감소는 보다 희박한 플루오로카본 또는 플루오로하이드로카본 (또는 수소와 플루오로카본의 결합) 에칭 화학물질을 허용한다. 보다 희박한 에칭 화학물질은 보다 낮은 백분율의 탄소와 같은 중합하는 컴포넌트들을 갖는다. 예를 들어, 비-극저온 에칭 프로세스에서 C4F6 및 C4F8은 패시베이션제로서 에칭 가스에 사용될 수도 있다. 극저온 온도들에서, 탄소 테트라플루오라이드 (CF4) 는 패시베이션제로서 사용될 것이다. 희박한 화학물질의 다른 예들은 플루오로메탄 (CHF3), CH3F, 탄소 테트라클로라이드 (CCl4), 트리플루오로요오드메탄 (CF3I), 디브로모디플루오로메탄 (CBr2F2), 펜타플루오로에탄 (C2HF5), C2F5Br일 것이다. 이들 화학물질들은 서로 또는 수소 (H2) 또는 O2, 또는 H2O, 과산화수소 (H2O2) 중 하나 이상의 부가로 조합될 수 있다. 유전체들을 에칭하는 C 함유 화학물질들 외에도, 다른 비-C 함유 화학물질들이 또한 패시베이팅하는 것으로 공지되고, 패시베이션이 강할 필요 없기 때문에 극저온 온도들에서 효과적일 수도 있다. BCl3가 패시베이션 특성들을 갖고, 극저온 온도에서 효과적일 수도 있기 때문에, BCl3를 포함할 수 있다. BCl3는 붕소 트리옥사이드 (B2O3) 가 에칭을 차단하기 때문에, 상온에서 옥사이드의 전통적인 에천트 (etchant) 가 아니다. 차단이 극저온 온도들에서 보다 적을 수도 있고, BCl3가 극저온 온도들에서 에천트 컴포넌트로 작용할 수 있는 것으로 여겨진다. 다른 패시베이션 컴포넌트들은 크로밀 클로라이드 (CrO2Cl2), 실리콘 테트라클로라이드 (SiCl4), 티오닐 클로라이드 (SOCl2), 디클로로티타늄 (TiCl2), 트리클로로티타늄 (TiCl3), 및 포스진 (CoCl2) 일 수도 있다. 이 예에서 보다 희박한 화학물질들은 보다 낮은 탄소 대 불소 비를 갖는다.
제 2 원리: 표면 온도가 낮아지기 때문에, 부착 계수 (sticking coefficient) 가 증가한다. 부착 계수는 분자가 다시 가스 상으로 진입하기 전 표면에 얼마나 물리흡착을 길게 할지의 척도이다. 즉, 보다 저온 온도들에서, 부착 시간이 표면 온도에 반비례하도록, 분자가 Arrhenius 레이트 법칙에 따라 보다 길게 표면에 부착될 것이다. 이 온도 종속성은 극저온 온도들에서 보다 현저한 효과를 가져야 한다. 부착 계수의 온도 종속성의 결과는 반응물질 및 억제제 (즉, 증착) 가 발생하는 위치와 피처의 상이한 장소들에서 정도를 결정하는데 매우 중요하다. 구체적으로, 플루오로카본 폴리머의 증착 레이트는 부착 계수에 강하게 종속된다. 플루오로카본 폴리머 증착은 교차 결합되기 쉬운 플라즈마의 CxFy 종에 의해 촉진된다. 대략적으로, 종이 보다 크고 종에 탄소 함량이 보다 많으면, 폴리머를 형성하는 것이 보다 쉽다. 플라즈마 내의 C4F6 가스에 의해 형성된 단편들 사이에서, 분자들 또는 이온들, 예컨대 C4F6, C4F5, 테트라플루오로알렌 (tetrafluoroallene) (C3F4), 1,2,3,3,3-펜타플루오로프로-1-엔 (1,2,3,3,3-pentafluoroprop-1-ene) (C3F5), 트리플루오로비닐 (trifluorovinyl) (C2F3), 및 C3F2이 교차 결합하고 폴리머를 형성하는 경향이 있을 것이다. 온도가 보다 낮고, 이들 분자들이 보다 오래 표면들에 부착하면, 증착 레이트를 상승시킨다. 또한, 보다 낮은 온도는 부착이 대부분 피처의 상단에서 발생한다는 것을 의미한다. 폴리머는 개구부에 축적될 것이고, 극저온 온도들에서 측벽들을 따라 하강하지 않게 할 수도 있다.
제 2 원리의 일 결과는 유전체들의 극저온 에칭을 위한 가스들이 보다 덜 중합되도록 선택되어야 한다는 것이다. 일 실시예에서, 가스 혼합물들은 탄소를 함유한다. 탄소를 함유하는 가스들에 대해, 이 원리는 예로서 CF4를 든다. CF4는 고 종횡비들에서 유전체 에칭에 통상적인 가스가 아니다. 그러나, CF4가 보다 희박한 비의 C:F를 갖고 피처의 상단을 막을 가능성이 보다 적기 때문에, 극저온 온도들에서 CF4가 잘 작용할 수도 있다. 동시에, CF4의 사용은 측벽들에 대해 어느 정도 레벨의 보호를 제공해야 한다. 보다 희박한 화학물질의 또 다른 예는 옥사이드 에칭을 위해 CHF3 또는 나이트라이드 에칭을 위해 CHF3 및 N2를 사용한다. 또 다른 예는 나이트라이드를 에칭하기 위해 CF4 및 N2를 포함할 수도 있다. 또한, CH2F2 및 CH3F 및 CCl4 및 카르보닐 설파이드 (COS), CO, CO2, 메틸렌 클로라이드 (CH2Cl2), 메탄 (CH4), CF3I, 클로로포름 (CHCl3) 이 에천트들로서 사용될 수도 있다. 하나는 나이트라이드들의 에칭을 촉진하기 위해 임의의 이들 가스 혼합물들에 N 함유 가스들, 예컨대 NH3, 황화수소 (H2S), 실란 (SiH4), 디실란 (SiH6), 프로펜 (C3H6), 산화질소 (N2O), H2O2, 질산 (HNO3), 등과 같은 다른 가스들, 또는 발생하는 증착을 조절하기 위해 O2를 추가하거나 결합할 수 있다. 따라서 예를 들어, 일부 가능한 가스 혼합물 레시피들은 CF4, O2, 및 N2의 혼합물, 또는 CF4, CHF3, 및 O2의 혼합물, 또는 CF4, COS, 및 N2의 혼합물, 또는 CF4 및 CO의 혼합물, 또는 CF4 및 CH4의 혼합물 및 모든 다른 치환들일 수도 있다. 이들 라인들을 따라, 산소 및 질소 모두가 목표될 수도 있기 때문에, 예를 들어 CF4 및 H2O의 조합에서 H2O와 같은, 유전체들을 에칭하기 위해 전통적이지 않은 반응물질을 사용하는 것이 가능할 수도 있다. H2O가 일반적으로 상온에서 가스가 아니기 때문에, H2O의 입력을 수용하기 위해 일부 하드웨어 수정들이 필요할 수도 있다. 상기 언급된 임의의 이들 조합들은 이들이 상온에서 충분히 중합화되지 않기 때문에 고 종횡비 유전체 구조체들을 에칭하기 위해 일반적으로 사용되지 않을 수도 있다. 그러나, 극저온 온도들에서, 이들 이들 희박한 화학물질들이 극저온 온도들에서 표면들에 응결될 가능성이 보다 높기 때문에, 측벽들에 어느 정도 보호를 제공할 수도 있다. 따라서 일반적으로 에천트들로 생각되는 이러한 화학물질들은 또한 극저온 온도들에서 측벽들을 증착하거나 적어도 패시베이팅할 수도 있다.
제 2 원리의 추가 고찰: 이전의 단락은 탄소를 함유하는 보다 희박한 화학물질들에 초점을 맞췄다. 그러나 가스들이 패시베이션제로서 탄소 함량을 갖지 않더라도 극저온 온도들에서 측벽들을 보호할 가능성이 보다 높기 때문에, 극저온 온도들에서 이제 일반적으로 상온에서 사용되지 않는 측벽 패시베이션에 사용될 수도 있는 또 다른 부류의 화학물질들이 있다. 많은 증착이 요구되지 않을 것이기 때문에, 산소 및/또는 질소를 갖는 실리콘은 실리콘 나이트라이드 에칭을 위해 이하의 할로겐 함유 화학적 조합들: 예컨대 BCl3 및 염소 (Cl2) 의 혼합물, 또는 HBr, 또는 Cl2 및 N2의 혼합물, 또는 CF4, 또는 Br2, 또는 COS, 또는 H 소스로서 SiH4를 사용함으로써 에칭될 수도 있다. 일부 실시예들에서, 요오드는 할로겐으로 사용될 수 있다. 일반적으로, BCl3이 에칭을 차단하는 SiOBCl의 표면 막을 형성하기 때문에, BCl3는 상온에서 쉽게 옥사이드를 에칭하기 때문에, BCl3는 상온에서 유전체 에칭을 위해 사용되지 않는다. 그러나, 보다 낮은 온도들에서, BCl3 증착이 보다 낮은 온도들에서 실제로 감소한다는 것이 발견되었다. 이 경우에서, BCl3 에칭은 상승된 부착 계수로 인한 것이 아니라, 보다 느린 반응 때문이다. 결과로서, BCl3은 일반적으로 상온에서 증착되지만, 휘발성 BxClyOz 종을 생성하기 위해 극저온 온도들에서 에칭된다. 이는 극저온 온도들에서 에칭하기에 적합할 수도 있지만, 상온에서는 아닌 화학물질들의 또 다른 예일 것이다. BCl3과 유사한 부류의 다른 화학물질들은 MgCl2, PdCl2, 및 TiCl3을 포함할 수도 있다.
제 2 원리는 또 다른 결과를 제공한다. 보다 낮은 온도들에서 부착 계수의 상승이 상기 기술되었다. 이는 분자들이 측벽들로 내려가거나 피처의 에칭 프론트로 가기 전 피처들의 상단부들에 부착하는 경향이 있을 것임을 의미한다. 그러나, 분자가 충분히 작거나 증착하지 않으면, 여전히 피처의 하단으로 게 할 수 있다. 구체적으로, 플루오로카본 증착에 대해, 에칭 종 (이온들 또는 분자들) 이 F, 탄소 플루오라이드 (CF), 디플루오로메탄 (CF2) 인 경향이 있는 것으로 공지된다. 이들 종은 이들이 중합되지 않을 정도로 충분히 작고, 대신 이들이 표면에 도달하면 에칭될 가능성이 보다 높다. 이는 역 RIE (Reactive Ion Etch) 지연 (lag) 현상으로 공지되고, 보다 고 종횡비 피처들은 보다 작은 종횡비 피처들보다 빠르게 에칭되고, 고 종횡비는 작은 입자들에 대한 일종의 필터로 역할한다. 역 RIE는 피처들의 하단으로 가게 하는 작은 입자들에 의해서만 유발된다. 역 RIE는 증착 감소로 인해 하단에서 에칭 레이트를 상승시킬 뿐 아니라, 보다 큰 종의 부착이 발생하고 증착 막을 형성하는 상단부에서 마스크를 보호하는 선택도를 도울 수 있다.
제 2 원리의 이 영향은 고 불소 소스를 갖는 가스들을 사용하기 위해 고 종횡비 유전체 에칭에 유리하다는 것을 나타낸다. 통상적으로 상온에서 사용된 C4F6 및 C4F8은, 매우 작은 농도의 이들 가스들이 F, CF, 또는 CF2 중 작은 입자들로 단편화되기 때문에 우수한 소스가 아니다. 대신, 극저온 온도들에서, C4F6 및 C4F8에서 큰 종은 어떠한 반응물질들도 하단부로 가지 않고 피처의 상단에 부착하고 에칭을 차단할 가능성이 보다 높다. F (라디칼) 소스로 단편화되는 가스들의 유형들은 실험실들에서 쉽게 이용 가능한 가스들: CF4, SF6, NF3, XeF2, 텅스텐 헥사플루오라이드 (WF6), SiF4, 탄탈룸 펜타플루오라이드 (TaF5), 요오드 헵타플루오라이드 (IF7), 수소 플루오라이드 (HF) (증기 또는 플라즈마 내부에서 간접적으로 생성됨) 을 포함할 것이다. 보다 일반적으로, 금속 할라이드들과 같은 +5 이상으로 대전된 금속들은, 보다 휘발성이고 플라즈마를 통해 표면으로 전달될 수 있다. 따라서, 많은 펜타플루오라이드 화학물질들은 가스들이 되는 경향이 있고, F-생성 플라즈마들로서 우수한 후보들이 될 수 있다. 이 부류의 펜타플루오라이드 가스들은 염소 펜타플루오라이드 (ClF5), 브롬 펜타플루오라이드 (BrF5), 비소 펜타플루오라이드 (AsF5), 질소 펜타플루오라이드 (NF5), 인 펜타플루오라이드 (PF5), 니오븀 펜타플루오라이드 (NbF5), 비스무트 펜타플루오라이드 (BiF5), 및 우라늄 (UF5) 을 부가적으로 포함할 것이다. 이들 중 일부, BiF5의 이점은 측벽들을 또한 보호할 수 있는 폴리머들을 또한 형성할 것이다. 이 접근법은 또한 동일한 이유들로 염소 함유 종과 작용할 수도 있다. SiCl2, CrO2Cl2, SiCl4, 탄탈룸 테트라클로라이드 (TaCl4), 하프늄 테트라클로라이드 (HfCl4), 티타늄 클로라이드 (TiCl3 (l)), 티타늄 테트라클로라이드 (TiCl4 (l)), 코발트 클로라이드 (CoCl2(l)) 와 같은 것들이 다른 선택들이다.
언급된 이들 가스들 중 일부는 쉽게 이용 가능하지만, 그들은 WF6, TiCl3, 및 TiCl2와 같은 증착 플랫폼들에서 보다 일반적으로 발견되기 때문에 유전체 또는 임의의 에칭 플랫폼에서 거의 사용되지 않는다. 사실, 이들 중 일부는 에칭 종들보다 부산물들로 에칭에서 보다 더 공지된다. 예를 들어, WF6은 SF6 또는 CF4 플라즈마에서 텅스텐 (W) 에칭의 부산물이다. 그리고 TiCl4 및 TaCl4 및 HfCl4는 Cl2 또는 BCl3 플라즈마들에서 티타늄 (Ti), 탄탈룸 (Ta), 티타늄 나이트라이드 (TiN), 및 탄탈룸 나이트라이드 (TaN) 또는 하프늄 (Hf) 에칭의 부산물들이다. 다양한 실시예들은 유전체들을 에칭하기 위해 고 F 또는 고 Cl 종을 제공하기 위해 CF4, SF6, NF3, XeF2, WF6, SiF4, TaF5, IF7, HF, ClF5, BrF5, AsF5, NF5, PF5, NbF5, BiF5, UF5, WF6, TiCl3, 및 TiCl2와 같은 이러한 가스들을 사용한다. Cl 종은 보다 덜 효과적일 수도 있다. 구체적으로, WF6, TaCl4, 및 HfCl4는 W, Ta, Hf, 니오븀 (Nb), 레늄 (Re) 은 매우 단단한 것으로 공지된 내화성 금속들이기 때문에 부가적인 이점을 제공할 수도 있다. 앞서 언급된 바와 같이, 마스크는 C가 되는 경향이 있다. 단단한 종이 C 내에 도핑되면, 마스크는 강화될 수 있다. 따라서 예를 들어, W 도핑된 C는 비정질 C보다 단단하다. 따라서, 에칭 프로세스가 WF6, TaCl4, 또는 HfCl4를 사용하면, W, Ta, 또는 Hf는 마스크 내로 주입된다. 주입은 마스크를 경화하고 선택도를 상승시키는 부가된 이점을 가져야 한다. F가 Cl 또는 Br보다 효과적이기 쉽기 때문에, 바람직한 에칭 가스들은 WF6 또는 TaF5이다. WF6 또는 TaF5는 모두 상온에서 가스들이고, 플라즈마 내로 삽입하기 보다 쉽다. 내화성 금속들 외에, B와 C는 모두 또한 매우 단단하고, 비정질 탄소 마스크를 경화하거나 재경화할 수 있다. 따라서, CF4, 붕소 트리플루오라이드 (BF3), 및 보론 트리브로마이드 (BBr3) 는 다양한 실시예들에서 에천트 컴포넌트 가스들로 사용될 수도 있다. 불소 생성 에칭 가스들에 대해, 바람직한 에칭 가스들은 F 라디칼들을 제공하고, 상당히 큰 분자들이다. 따라서, 헥사플루오린들이 테트라플루오린보다 선호될 것이다. 예를 들어, WF6 및 TaF5는 SiCl2보다 선호된다. 이들 가스들이 O를 제거할 수 있으면, 이들 가스들은 C 함유 가스 또는 H 함유 가스와 결합될 수 있다.
이전에 언급된 바와 같이 이들 가스들 중 일부는 증착 프로세스들에서 사용된다. 이들 가스들은 피처 하단부의 에칭 프론트에서가 아니고 피처의 상단부에 증착될 수도 있다. 일 실시예에서, 에칭은 피처들의 상단부들에 의도적으로 증착함으로써 더 향상될 수도 있다. 예를 들어, WF6 또는 TiCl4 또는 TaF5의 에칭 가스를 사용하는 것은 WF6 및 H2의 화학물질 또는 WF6 및 SiH4의 화학물질을 사용하여 ALD에서 수행된 것처럼, 피처들의 상단부에서 마스크 상에 금속을 (주입/도핑하는 대신) 증착할 수 있다. 증착물이 피처의 상단부에 부착되고 증착되는 동안, F 및 H만이 피처의 하단부에 도달하여 에칭되는 것으로 여겨진다. 이는 또한 H2O 또는 NH3로서 O 및 N을 에칭하는 것을 도울 수도 있는 H를 제공하는 방식이다. 또 다른 예에서, Ta는 TaF5를 사용하여 증착된다. Ta가 매우 단단한 재료이기 때문에, Ta는 마스크를 경화하도록 사용될 수 있다. Ti가 적절한 전구체와 함께 TiCl4를 사용하여 증착될 수도 있다.
제 3 원리는 이하와 같다: 상온에서 SiO2를 에칭하는 것은 일반적으로 F 단독으로는 작동하지 않고, C, B, 또는 H를 또한 필요로 하지만, 일 실시예에서 극저온 온도들에서 C, B, 또는 H가 필요하지 않고 F 단독으로 SiO2를 에칭한다. F가 상온에서 SiO2를 에칭하기 위해 C, B, 또는 H가 필요한 이유는 플루오로늄디올레이트 (fluoroniumdiolate) (FO2) 가 상온에서 휘발성 (비등점 -144 ℃) 이라는 것이다. 산소 디플루오라이드 (OF2) 가 라디칼 메커니즘을 통해 산소 및 불소로 분해된다. 플라즈마에서, 이는 보다 빨리 발생할 수도 있다. 따라서, OF2는 안정하지 않다. 일 실시예는 안정한 OF2 증기를 제공하기 위해 극저온 온도들을 사용한다. 이후 극저온 온도들에서, SiO2는 C, B, H 첨가제들을 부가하지 않고 F 함유 가스들로 에칭된다. 또한, 플라즈마 O2의 노출 부족 (underexposure) 이 우선적으로 스퍼터링되는 (sputtered) 것이 공지된다. 이어서, 표면은 보다 금속처럼 된다. 따라서, 일 실시예는 일반적으로 이러한 가스가 실리콘만을 위해 지정되고 SiO2를 에칭할 수 없을 때, 극저온 온도들에서 SF6과 같은 불소 함유 에칭 가스를 갖는 컴포넌트로 SiO2를 에칭한다.
제 4 원리는 이하와 같다: 또 다른 접근법에서, 비-극저온 온도들에서 에천트가 극저온 온도들에서 패시베이션제 컴포넌트가 되는 것이 예기치 않게 알게 되었다는 것이 밝혀졌다. 예를 들어, SF6는 비-극저온 프로세스들에서 에천트로 사용된다. 그러나, SF6가 극저온 온도들에서 패시베이션제처럼 작용하고 에천트로 작용하지 않는다는 것이 밝혀졌다. 비-극저온 온도들에서 패시베이션을 제공하지 않는 다른 분자들은 극저온 온도들에서 패시베이션을 제공한다. 예를 들어, 상기 언급된 바와 같이, 물은 극저온 온도들에서 패시베이션 컴포넌트일 수도 있다. 아민들 및 SO2 또한 극저온 온도들에서 패시베이션제들로 사용될 수도 있다. CO2가 극저온 온도들에서 패시베이션제로 사용될 수도 있다는 것이 밝혀졌다. CO2는 CO2가 결정들을 형성할 가능성이 보다 적지만, 대신 보다 슬러리 (slurry) 구성이기 때문에 바람직한 패시베이션제일 수도 있다. 극저온 온도들에서 다른 패시베이션제들은 COS, CO, SF6, 또는 SiF4로부터의 S일 수도 있다.
다른 실시예들은 극저온 온도들에서 ALE (Atomic Layer Etch) 또는 ALD (Atomic Layer Deposition) 를 제공한다. 극저온 ALE의 일 실시예에서, 화학적 반응물질들은 단계 A에서 원자 층 에칭 가스로 공급되고, 단계 B는 부산물을 탈착하기 위해 열 또는 이온 에너지 또는 또 다른 유형의 에너지를 공급한다. 구체적으로, ALE의 단계 A에서, 극저온 온도들은 단계 A에서 발생하는 모든 에칭이 비이상적이고 바람직하지 않기 때문에, 모든 에칭을 억제하기 위해 사용된다. 많은 반응물질들이 흡착될 수 있지만 상온에서 에칭되지 않는다. 상온에서 자발적으로 에칭될 매우 다수의 반응물질들이 있다. 이의 가장 잘 공지된 경우는 불소의 존재 하에 상온에서 SiF4를 자발적으로 형성할 실리콘이다. 극저온 온도들을 사용함으로써, 이 반응이 억제된다. 다양한 실시예들이 이 접근법을 확장한다. 일 실시예에서, 주석 옥사이드 (SnO) 는 상온에서 H2를 사용하여 자발적으로 에칭되고, 상온에서 이를 ALE 프로세스로 바꾸는 것을 어렵게 만든다. 그러나, -70 ℃로 추정된 극저온 온도들로 됨으로써, 이 반응이 억제되고, 성공적인 ALE 프로세스를 허용하는 것으로 여겨진다.
ALE는 HAR에 유리할 수도 있다. ALE의 일 실시예에서, 제 2 단계는 아르곤만을 제공한다. 아르곤만이 실제로 비정질 탄소 막을 보다 다이아몬드와 유사한 것으로 강화할 수 있다는 것이 공지된다. 따라서, 마스크를 강화하기 위한 아르곤 온리 (only) 플라즈마의 간헐적인 사용은 고 종횡비 에칭 프로세스에서 사용될 수도 있다. 이러한 프로세스는 또한 일 실시예에서 ALD에 사용될 수도 있다.
또한, 보다 단단한 재료들이 ALE를 사용하여 에칭하기 보다 쉽다는 것이 밝혀졌다. 극저온 온도들에서 ALE를 수행함으로써, 극저온 온도들은 재료의 표면 결합 에너지를 변화시키지 않고 보다 단단한 재료를 효과적으로 에칭되는 재료가 되게 한다. 이는 재료 상에 보다 쉬운 ALE를 제공한다. 일 실시예에서, 화학적 반응 단계 A는 개질된 층을 제공하도록 극저온 온도들에서 수행되고, 단계 B에서 활성화를 위한 이온 에너지의 사용은 또한 개질된 층을 활성화하기 위해 극저온 온도들에서 수행된다. 또 다른 실시예에서, 화학적 반응 단계 A는 극저온 온도들에서 수행되고, 활성화 단계 B는 (이온들과 함께) 보다 고온들 또는 열적으로도 수행되고, 열은 에너지 소스로 사용된다. 일 실시예에서, 기판은 단계 각각을 위해 2 개의 상이한 챔버들 사이에서 이동되고, 따라서 단계 A는 극저온 온도들의 챔버 내에서 수행되고, 단계 B는 비-극저온 온도들의 챔버 내에서 수행된다. 또 다른 예에서, 단계 A는 극저온 온도들에서 수행되고, 활성화 단계 B는 가열 램프 하에서 수행되고, 가열 램프는 열 에너지가 필요한 작은 볼륨에 열 에너지를 제공한다.
극저온 온도들이 ALD에 사용되는 실시예들에서, 원자 층 증착 가스로 제공된 제 1 전구체가 단계 A 동안 극저온 온도들로 증착될 수도 있고, 제 2 전구체가 단계 B 동안 극저온 온도들로 증착될 수도 있다. 일반적으로, 전구체의 흡착이 일반적으로 상승된 온도들을 요구하기 때문에, 이는 극저온 온도들에서 수행되지 않는다. 그러나, 플라즈마가 전구체를 보다 반응성으로 만들기 위해 사용되면, 극저온 온도들은 다른 열적으로 활성화된 표면 반응들을 방지하기 위해 사용될 수 있다. 문제는 기판 상으로 전구체의 잠재적인 응결일 것이다. 응결은 자기-제한이 아닐 것이다. 따라서, 온도 윈도우는 극저온 온도들과 양립 가능하거나 양립 가능하지 않을 수도 있다. 윈도우가 극저온 온도들과 호환될 가능성은 플라즈마가 사용될 때 증가된다.
도 2는 일 실시예에서 사용될 수도 있는 에칭 반응기 (200) 의 개략도이다. 하나 이상의 실시예들에서, 에칭 반응기 (200) 는 챔버 벽 (252) 에 의해 인클로징된 에칭 챔버 (209) 내에 가스 유입구를 제공하는 가스 분배 플레이트 (206) 및 정전 척 (electrostatic chuck; ESC) (208) 을 포함한다. 에칭 챔버 (209) 내에서, 스택 (204) 이 ESC (208) 위에 위치된다. ESC (208) 는 ESC 소스 (248) 로부터 바이어스를 제공할 수도 있다. 에칭 가스 소스 (210) 가 가스 분배 플레이트 (206) 를 통해 에칭 챔버 (209) 에 연결된다. ESC 온도 제어기 (250) 가 냉각제 (215) 를 냉각하는 냉각기 (chiller) (214) 에 연결된다. 이 실시예에서, 냉각기 (214) 는 ESC (208) 내 또는 근방의 채널들 (217) 에 냉각제 (215) 를 제공한다. 무선 주파수 (Radio Frequency; RF) 소스 (230) 는 이 실시예에서 각각 ESC (208) 및 가스 분배 플레이트 (206) 인, 하부 전극 및/또는 상부 전극에 RF 전력을 제공한다. 일 예시적인 실시예에서, 400 ㎑, 60 ㎒, 및 선택 가능하게, 2 ㎒, 27 ㎒ 전력 소스들이 RF 소스 (230) 및 ESC 소스 (248) 를 구성한다. 이 실시예에서, 상부 전극은 접지된다. 이 실시예에서, 일 생성기가 주파수 각각에 대해 제공된다. 다른 실시예들에서, 생성기들은 개별적인 RF 소스들에 있을 수도 있거나, 개별적인 RF 생성기들이 상이한 전극들에 연결될 수도 있다. 예를 들어, 상부 전극은 상이한 RF 소스들에 연결된 내측 전극들 및 외측 전극들을 가질 수도 있다. RF 소스들 및 전극들의 다른 배치들이 다른 실시예들에서 사용될 수도 있다. 제어기 (235) 가 RF 소스 (230), ESC 소스 (248), 배기 펌프 (220), 및 에칭 가스 소스 (210) 에 제어 가능하게 연결된다. 이러한 에칭 챔버의 일 예는 CA, Fremont 소재의 Lam Research Corporation에 의해 제작된 FlexTM 에칭 시스템이다. 프로세스 챔버는 CCP (Capatively Coupled Plasma) 반응기 또는 ICP (Inductively Coupled Plasma) 반응기일 수 있다.
도 3은 실시예들에서 사용된 제어기 (235) 를 구현하기에 적합한 컴퓨터 시스템 (300) 을 도시하는 고 레벨 블록도이다. 컴퓨터 시스템 (300) 은 집적 회로, 인쇄 회로 보드, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (300) 은 하나 이상의 프로세서들 (302) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (304), 메인 메모리 (306) (예를 들어, RAM (Random Access Memory)), 저장 디바이스 (308) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (310) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (312) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (314) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (314) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (300) 과 외부 디바이스들 사이에서 이송되게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (316) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (314) 를 통해 전달된 정보는 신호들을 반송하고, 전선 또는 케이블, 광섬유, 전화선, 휴대전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해, 통신 인터페이스 (414) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스 (314) 를 사용하여, 하나 이상의 프로세서들 (302) 이 상기 기술된 방법 단계들을 수행하는 동안 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크에 정보를 출력할 수도 있다는 것이 고려된다. 또한, 방법 실시예들은 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 “비일시적 컴퓨터 판독가능 매체”는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장장치, 및 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 영구 메모리와 같은 저장 디바이스들과 같은 매체를 지칭하도록 사용되고, 반송파들 또는 신호들과 같은 일시적 주제를 커버하는 것으로 해석되지 않는다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행되는 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파에 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
예시적인 실시예에서, 스택은 에칭 챔버 내에 배치된다 (단계 (104)). 도 4a는 스택 (204) 의 개략적 단면도이다. 이 실시예에서, 스택 (204) 은 패터닝된 마스크 (416) 아래에 배치된 복수의 이중층들 (412) 아래에 기판 (408) 을 포함한다. 이 예에서, 하나 이상의 층들은 기판 (408) 과 복수의 이중층들 (412) 사이 또는 복수의 이중층들 (412) 과 패터닝된 마스크 (416) 사이에 배치될 수도 있다. 이 예에서, 패터닝된 마스크 (416) 는 비정질 탄소와 같은 탄소 함유 패터닝된 마스크이다. 이 실시예는 복수의 이중층들 (412) 위 또는 패터닝된 마스크 (416) 위에 실리콘 함유 마스크를 갖지 않는다. 이 예에서, 패터닝된 마스크 패턴은 고 종횡비 콘택트들에 마스크 피처들 (420) 을 제공한다. 일부 실시예들에서, 마스크 피처들 (420) 은 스택 (204) 이 에칭 챔버 (209) 내에 배치되기 전에 형성된다. 다른 실시예들에서, 마스크 피처들 (420) 은 스택 (204) 이 에칭 챔버 (209) 내에 있는 동안 형성된다. 이 실시예에서, 이중층 (412) 각각은 실리콘 옥사이드의 층 (424) 및 실리콘 나이트라이드의 층 (428) 의 이중층이다.
스택 (204) 이 에칭 챔버 (209) 내에 배치된 후, 스택 (204) 은 -20 ℃ 이하의 냉각 온도를 갖는 냉각제를 사용하여 냉각된다 (단계 (108)). 에칭 가스가 에칭 챔버 (209) 내로 흐른다 (단계 (112)). 이 예에서, 에칭 가스는 CF4이다. 이 예에서, 5 내지 60 mTorr의 압력이 제공된다. 에칭 가스는 에칭 플라즈마로 형성된다 (단계 (116)). 이는 200 내지 800 W로 60 ㎒의 주파수를 갖는 여기 (excitation) RF를 제공함으로써 달성될 수도 있다. 스택 (204) 은 플라즈마에 노출된다 (단계 (120)). 적어도 약 400 V의 크기를 갖는 바이어스가 제공된다 (단계 (124)). 이 실시예에서, 고 바이어스는 ESC 소스 (248) 에 의해 2 kW 내지 18 kW로 400 ㎑의 주파수를 갖는 RF를 ESC (208) 에 제공함으로써 제공된다. 바이어스는 이온들로 하여금 스택 (204) 으로 가속화되게 하여 탄소 함유 패터닝된 마스크 (416) 에 대해 복수의 이중층들 (412) 내로의 고 종횡비 에칭 피처들의 선택적인 에칭을 유발한다 (단계 (128)). 플라즈마는 180 내지 3600 초 동안 유지된다. 에칭은 실리콘 옥사이드 층 (424) 및 실리콘 나이트라이드 층 (428) 모두를 에칭할 수 있다. 에칭을 완료한 후 다른 프로세스들이 스택 (204) 상에서 수행될 수도 있다. 스택 (204) 은 이후 에칭 챔버 (209) 로부터 제거된다 (단계 (132)).
도 4b는 콘택트들 (432) 이 에칭된 후 스택 (204) 의 단면도이다. 콘택트들 (432) 은 고 종횡비 콘택트들이다. 바람직하게, 고 종횡비 콘택트들 (432) 은 20:1보다 큰 높이 대 임계 치수 (Critical Dimension; CD) 폭 종횡비를 갖고, 이 실시예에서, CD는 피처의 상단부에서 측정된다. 다른 실시예들은 50:1보다 큰 높이 대 폭 종횡비를 가질 수도 있다. 에칭 프로세스는 고 종횡비 피처들을 에칭하는 동안 5:1보다 큰 선택도로 비정질 탄소에 대해 실리콘 옥사이드 층 (424) 및 실리콘 나이트라이드 층 (428) 을 선택적으로 에칭할 수 있다. 발생하는 피처들은 또한 감소된 보잉 (bowing), 스트라이에이션 (striation), 왜곡 (distorting), 캡핑 (capping), 및 테이퍼링 (tapering) 을 갖는다. 또한, 이 실시예는 폴리실리콘과 같은 실리콘 함유 마스크를 요구하지 않고, 비정질 탄소와 같은 탄소 함유 패터닝된 마스크의 사용을 허용한다. 실리콘 함유 마스크에 대한 요구사항의 제거는 비용 및 결함들을 감소시킨다.
스택이 -20 ℃ 이상의 온도에서 프로세싱되는 에칭을 사용하는 이전의 프로세스들은, 에칭하고 측벽 보호를 제공하기 위해 플루오로카본 화학물질에 의존한다. 이러한 프로세스는 5:1 미만의 에칭 선택도의 실리콘 옥사이드와 실리콘 나이트라이드에 대한 마스크를 발생시킨다. 이전의 프로세스들 동안 측벽 보호는 폴리머 증착에 의해 제공되었다. 폴리머 증착은 탄소의 농도에 의해 제어되었고, 보다 높은 농도의 탄소는 산소에 의해 측벽 증착을 증가시키고, 보다 높은 농도의 산소는 증착된 폴리머를 소비한다. 보다 높은 농도의 산소는 또한 마스크의 소비를 증가시켰다. 일부 이전의 프로세스들은 실리콘 함유 마스크를 사용했다. 상기 실시예들은 에칭 레이트를 상승시키고, 종래의 접근법에 비해 콘택트 형상/스트라이에이션을 개선한다.
일부 실시예들에서, 스택 (204) 에 -20 ℃ 이하의 냉각 온도를 갖는 냉각제 (215) 를 제공하기 위해, 냉각제는 -60 ℃ 이하의 냉각제 온도로 냉각된다. 다른 실시예들에서, 냉각제 (215) 는 -30 ℃ 내지 -200 ℃의 냉각제 온도로 냉각된다. 다른 실시예들에서, 냉각제 (215) 는 약 -40 ℃ 내지 약 -200 ℃의 냉각제 온도로 냉각된다. 일부 실시예들에서, 스택은 -30 ℃ 내지 -200 ℃의 온도로 냉각된다. 명세서 및 청구항들에서, 극저온 온도들에서 에칭을 수행하는 것은 -20 ℃ 이하의 온도의 냉각제로 에칭을 수행하는 것으로 정의된다. 보다 바람직하게, 극저온 온도들은 -20 ℃ 내지 -150 ℃의 온도들의 냉각제를 사용한다. 보다 바람직하게, 극저온 온도들은 -60 ℃ 이하의 온도들의 냉각제를 사용한다. 일반적으로, 극저온 온도들에서 에칭을 수행하는 것은 상기 범위들 중 하나의 냉각제를 갖는다. 일부 실시예들에서, 극저온 온도에서의 동작은 동작 동안 일부 시간에서 -20 ℃ 이하의 온도로 스택 (204) 을 냉각한다. 다른 실시예들에서, 극저온 온도에서의 동작은 전체 동작 동안 -20 ℃ 이하의 온도로 스택 (204) 을 유지한다.
일부 실시예들에서, 에칭 가스는 자유 불소 제공 컴포넌트, 수소 함유 컴포넌트, 하이드로카본 함유 컴포넌트, 플루오로카본 함유 컴포넌트, 및 요오드 함유 컴포넌트 중 하나 이상을 더 포함한다. 자유 불소 제공 컴포넌트가 일반적으로 NF3 및 황 헥사플루오라이드 (SF6) 와 같은 자유 불소를 제공하기 위해 플라즈마 내에서 분해될 컴포넌트로 정의된다. 수소 함유 컴포넌트들은 바람직하게 H2, CH3F, 및 디플루오로메탄 (CH2F2) 이다.
ONON 스택은 3D NAND 메모리 디바이스를 만드는데 콘택트 홀들, 라인들, 또는 트렌치들과 같은 피처들을 형성하도록 에칭될 수도 있다. 다른 실시예들은 3D NAND 접합들을 제어하기 위해 사용된 제 1 금속 콘택트들인, M0C 및 M0A에 사용될 콘택트 홀들을 에칭할 수도 있다. 다른 실시예들은 DRAM (Dynamic Random Access Memory) 커패시터 에칭에 사용될 수도 있다. 다른 실시예들은 실리콘 옥사이드 및 폴리실리콘 이중층들 (OPOP) 을 에칭하기 위해 사용될 수도 있다. 실시예들은 20 ㎛보다 큰 에칭 깊이를 제공한다. 다른 실시예들에서, 에칭 깊이는 3 ㎛보다 크다. 이러한 실시예들은 1 ㎛ 미만의 두께를 갖는 단일 비정질 탄소 마스크를 사용하는 단일 에칭 단계에서 실리콘 옥사이드 및 실리콘 나이트라이드의 적어도 48 개의 이중층들의 에칭을 허용한다. 또한, 콘택트들은 바람직하게 30:1보다 큰 에칭 깊이 대 넥 (neck) 종횡비를 갖는다.
일부 실시예들에서, 스택은 실리콘 옥사이드 또는 실리콘 나이트라이드의 단일 층일 수도 있다. 다른 실시예들에서, 스택은 다른 실리콘 함유 재료들의 단일 층 또는 복수의 층들일 수도 있다.
상기 실시예는 적어도 400 V의 크기를 갖는 바이어스를 사용한다. 적어도 1000 V의 크기를 갖는 바이어스가 개선된 에칭을 제공할 것임이 밝혀졌다. 적어도 2000 V의 크기를 갖는 바이어스가 더 개선된 에칭을 제공할 것으로 여겨진다. 이론에 얽매이지 않고, 보다 높은 바이어스가 비정질 탄소 마스크의 사용을 허용하고 스트라이에이션 및 보잉을 감소시키는, 다른 피처들의 이점을 취하면서 보다 고 종횡비 에칭을 허용할 것으로 여겨진다.
일부 실시예들에서, 액체 질소가 냉각을 제공하기 위해 척 또는 하단 전극을 통해 흐르는 냉각제로 사용된다. 다른 실시예들에서, DE, Wilmington 소재의 DuPont Corporation에 의해 제작된 액체 Vertel Sinera™가 냉각제로서 사용될 수도 있다.
보잉은 일반적으로 피처들이 원통형이고 원형 단면을 가질 수도 있는 콘택트들의 에칭의 문제점이다. 따라서, 다양한 실시예들에서, 피처들은 원형 단면을 갖는 콘택트들이다. 다른 실시예들에서, 피처들은 타원형, 정사각형, 및 다른 다각형들과 같은 다른 단면들을 가질 수도 있다. 보잉을 감소시킴으로써, 에칭된 피처들은 보다 많은 원통형 형상을 갖는다. 다른 실시예들에서, 피처들은 라인들, 단차들, 또는 다른 형상들일 수도 있다. 다른 실시예들은 실리콘 함유 마스크 또는 금속 함유 마스크 중 하나 이상을 가질 수도 있다. 다양한 실시예들에서 할로겐들은 바람직하게 불소, 브롬, 또는 요오드이다.
본 개시가 몇몇의 바람직한 실시예들의 측면에서 기술되었지만, 본 개시의 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서 이하의 첨부된 청구항들은 본 개시의 진정한 정신 및 범위 내에 속하는 이러한 변경들, 수정들, 치환들, 및 다양한 대체 등가물들을 모두 포함하는 것으로 해석되도록 의도된다.

Claims (20)

  1. a) 플라즈마 처리 시스템의 챔버 내의 척 상에 기판을 지지하는 단계로서, 상기 기판은 실리콘 함유 막을 포함하고, 그리고 상기 척은 하부 전극을 갖는, 상기 기판을 지지하는 단계;
    b) -20 ℃ 이하의 온도로 상기 기판을 냉각하는 단계;
    c) 할로겐 함유 가스를 제공하는 단계;
    d) N2 가스를 제공하는 단계; 및
    e) 상기 할로겐 함유 가스 및 상기 N2 가스로부터 플라즈마를 생성하는 단계로서, 상기 플라즈마는 상기 실리콘 함유 막 내에 피처들을 에칭하고 상기 피처들의 측벽들 상에 측벽 패시베이션을 증착하는, 상기 플라즈마를 생성하는 단계를 포함하는, 에칭 방법.
  2. 제 1 항에 있어서,
    바이어스를 제공하는 단계를 더 포함하는, 에칭 방법.
  3. 제 1 항에 있어서,
    상기 할로겐 함유 가스 및 상기 N2 가스로부터 플라즈마로 상기 플라즈마를 생성하는 단계는 RF 전력을 제공하는 단계를 포함하는, 에칭 방법.
  4. 제 1 항에 있어서,
    상기 할로겐 함유 가스는 자유 불소 제공 컴포넌트 (component) 를 포함하는, 에칭 방법.
  5. 제 1 항에 있어서,
    수소 함유 컴포넌트, 하이드로카본 함유 컴포넌트, 플루오로카본 함유 컴포넌트, 및 요오드 함유 컴포넌트 중 하나 이상을 제공하는 단계를 더 포함하는, 에칭 방법.
  6. 제 1 항에 있어서,
    산소 함유 컴포넌트를 제공하는 단계를 더 포함하는, 에칭 방법.
  7. 제 1 항에 있어서,
    산소 가스를 제공하는 단계를 더 포함하는, 에칭 방법.
  8. 제 1 항에 있어서,
    적어도 400 V의 크기를 갖는 바이어스를 제공하는 단계를 더 포함하는, 에칭 방법.
  9. 제 1 항에 있어서,
    상기 기판은 적어도 2 개의 상이한 실리콘 함유 층들을 포함하는, 에칭 방법.
  10. 제 9 항에 있어서,
    상기 적어도 2 개의 상이한 실리콘 함유 층들은 실리콘 옥사이드 층 및 실리콘 나이트라이드 층을 포함하는, 에칭 방법.
  11. 제 9 항에 있어서,
    상기 적어도 2 개의 상이한 실리콘 함유 층들은 실리콘 옥사이드 층 및 폴리실리콘 층을 포함하는, 에칭 방법.
  12. a) 플라즈마 처리 시스템의 챔버 내의 척 상에 기판을 지지하는 단계로서, 상기 기판은 실리콘 함유 막을 포함하고, 그리고 상기 척은 하부 전극을 갖는, 상기 기판을 지지하는 단계;
    b) 할로겐 함유 가스를 제공하는 단계;
    c) N2 가스를 제공하는 단계; 및
    d) 상기 할로겐 함유 가스 및 상기 N2 가스로부터 플라즈마를 생성하는 단계로서, 상기 플라즈마는 상기 실리콘 함유 막 내에 피처들을 에칭하고 상기 피처들의 측벽들 상에 측벽 패시베이션을 증착하는, 상기 플라즈마를 생성하는 단계를 포함하는, 에칭 방법.
  13. 제 12 항에 있어서,
    바이어스를 제공하는 단계를 더 포함하는, 에칭 방법.
  14. 제 12 항에 있어서,
    상기 할로겐 함유 가스 및 상기 N2 가스로부터 플라즈마로 상기 플라즈마를 생성하는 단계는 RF 전력을 제공하는 단계를 포함하는, 에칭 방법.
  15. 제 12 항에 있어서,
    상기 할로겐 함유 가스는 자유 불소 제공 컴포넌트를 포함하는, 에칭 방법.
  16. 제 12 항에 있어서,
    수소 함유 컴포넌트, 하이드로카본 함유 컴포넌트, 플루오로카본 함유 컴포넌트, 및 요오드 함유 컴포넌트 중 하나 이상을 제공하는 단계를 더 포함하는, 에칭 방법.
  17. 제 12 항에 있어서,
    산소 함유 컴포넌트를 제공하는 단계를 더 포함하는, 에칭 방법.
  18. 제 12 항에 있어서,
    산소 가스를 제공하는 단계를 더 포함하는, 에칭 방법.
  19. 제 12 항에 있어서,
    적어도 400 V의 크기를 갖는 바이어스를 제공하는 단계를 더 포함하는, 에칭 방법.
  20. 제 12 항에 있어서,
    상기 기판은 적어도 2 개의 상이한 실리콘 함유 층들을 포함하는, 에칭 방법.
KR1020247008299A 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들 KR20240037370A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862644095P 2018-03-16 2018-03-16
US62/644,095 2018-03-16
PCT/US2019/021761 WO2019178030A1 (en) 2018-03-16 2019-03-12 Plasma etching chemistries of high aspect ratio features in dielectrics
KR1020207029723A KR20200123481A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207029723A Division KR20200123481A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들

Publications (1)

Publication Number Publication Date
KR20240037370A true KR20240037370A (ko) 2024-03-21

Family

ID=67907247

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020247008299A KR20240037370A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247008297A KR20240039207A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020207029723A KR20200123481A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247008294A KR20240037369A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247008302A KR20240037371A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247005584A KR20240027863A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들

Family Applications After (5)

Application Number Title Priority Date Filing Date
KR1020247008297A KR20240039207A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020207029723A KR20200123481A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247008294A KR20240037369A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247008302A KR20240037371A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들
KR1020247005584A KR20240027863A (ko) 2018-03-16 2019-03-12 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들

Country Status (5)

Country Link
US (3) US11594429B2 (ko)
JP (7) JP7366918B2 (ko)
KR (6) KR20240037370A (ko)
CN (1) CN111886678A (ko)
WO (1) WO2019178030A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20200100555A (ko) * 2019-02-18 2020-08-26 도쿄엘렉트론가부시키가이샤 에칭 방법
JP7390134B2 (ja) * 2019-08-28 2023-12-01 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
JP7343461B2 (ja) * 2019-11-08 2023-09-12 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN116169018A (zh) * 2019-11-08 2023-05-26 东京毅力科创株式会社 蚀刻方法
JP2023501588A (ja) * 2019-11-12 2023-01-18 アプライド マテリアルズ インコーポレイテッド 低減された水素堆積方法
WO2021138006A1 (en) * 2019-12-31 2021-07-08 Mattson Technology, Inc. Systems and methods for removal of hardmask
JP7296912B2 (ja) * 2020-04-07 2023-06-23 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20220166316A (ko) * 2020-04-08 2022-12-16 램 리써치 코포레이션 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭
JP6956288B2 (ja) * 2020-04-30 2021-11-02 東京エレクトロン株式会社 基板処理方法、プラズマ処理装置、及びエッチングガス組成物
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
TW202213505A (zh) * 2020-08-24 2022-04-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
JP2023540291A (ja) 2020-09-03 2023-09-22 アプライド マテリアルズ インコーポレイテッド 選択的異方性金属エッチング
US20220199418A1 (en) * 2020-12-17 2022-06-23 Tokyo Electron Limited Selective Etching with Fluorine, Oxygen and Noble Gas Containing Plasmas
WO2022163182A1 (ja) * 2021-01-27 2022-08-04 昭和電工株式会社 金属酸化物のパターン形成方法及び半導体素子の製造方法
JP2022150973A (ja) * 2021-03-26 2022-10-07 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11764215B2 (en) * 2021-03-31 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US20230260798A1 (en) * 2021-05-25 2023-08-17 Lam Research Corporation Chemistry for high aspect ratio etch for 3d-nand
WO2023101915A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Selective etch using fluorocarbon-based deposition of a metalloid or metal
JP7348672B2 (ja) * 2021-12-03 2023-09-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム
WO2023215385A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Organochloride etch with passivation and profile control
CN117810077A (zh) * 2022-09-29 2024-04-02 中微半导体设备(上海)股份有限公司 一种基片的刻蚀方法及其半导体器件
US20240112919A1 (en) * 2022-09-29 2024-04-04 Tokyo Electron Limited Low-Temperature Etch
US20240128091A1 (en) * 2022-10-13 2024-04-18 Applied Materials, Inc. Dry etching with etch byproduct self-cleaning
CN115818580A (zh) * 2022-11-28 2023-03-21 华中科技大学 用介质阻挡放电等离子体来制备纳米硫材料的方法及产品

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2669460B2 (ja) * 1986-10-29 1997-10-27 株式会社日立製作所 エツチング方法
JP2650970B2 (ja) * 1987-07-31 1997-09-10 株式会社日立製作所 ドライエッチング方法
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
KR100780944B1 (ko) 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US20070232070A1 (en) 2006-03-31 2007-10-04 Stephan Wege Method and device for depositing a protective layer during an etching procedure
JP6056136B2 (ja) * 2011-09-07 2017-01-11 セントラル硝子株式会社 ドライエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9299580B2 (en) * 2014-08-19 2016-03-29 Applied Materials, Inc. High aspect ratio plasma etch for 3D NAND semiconductor applications
US9728422B2 (en) * 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6327295B2 (ja) 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
JP6514138B2 (ja) 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
JP6587580B2 (ja) 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10361092B1 (en) * 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation

Also Published As

Publication number Publication date
KR20240039207A (ko) 2024-03-26
JP2024073515A (ja) 2024-05-29
JP2024073512A (ja) 2024-05-29
US20240178014A1 (en) 2024-05-30
KR20240037371A (ko) 2024-03-21
JP2021515988A (ja) 2021-06-24
JP2023179650A (ja) 2023-12-19
JP2024050812A (ja) 2024-04-10
US11594429B2 (en) 2023-02-28
KR20240037369A (ko) 2024-03-21
JP7366918B2 (ja) 2023-10-23
KR20240027863A (ko) 2024-03-04
US20230187234A1 (en) 2023-06-15
KR20200123481A (ko) 2020-10-29
US20210005472A1 (en) 2021-01-07
WO2019178030A1 (en) 2019-09-19
JP2024073514A (ja) 2024-05-29
JP2024073513A (ja) 2024-05-29
CN111886678A (zh) 2020-11-03

Similar Documents

Publication Publication Date Title
US11594429B2 (en) Plasma etching chemistries of high aspect ratio features in dielectrics
CN107924837B (zh) 干式蚀刻方法
KR100892797B1 (ko) 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
JP2007235135A (ja) 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法
JP2007073952A (ja) 高誘電率材料をエッチングする方法
CN101131927A (zh) 增强等离子体蚀刻性能的方法
KR100255405B1 (ko) 드라이에칭방법
US10361092B1 (en) Etching features using metal passivation
US20180061659A1 (en) Silicon-based deposition for semiconductor processing
Shinoda et al. Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing
Flamm et al. VLSI Electronics, Microstructure Science, vol. 8
Flamm et al. Basic principles of plasma etching for silicon devices
US20240203759A1 (en) Plasma etching chemistries of high aspect ratio features in dielectrics
US20240203760A1 (en) Plasma etching chemistries of high aspect ratio features in dielectrics
Zhang et al. Reactive Ion Etching Selectivity of Si/SiO2: Comparing of two fluorocarbon gases CHF3 and CF4
CN118263107A (en) Plasma etch chemistry for high aspect ratio features in dielectrics
WO2023215040A1 (en) Co-deposition and etch process
US20040157466A1 (en) Methods of forming polymer films and of using such polymer films to form structures on substrates
JP4448807B2 (ja) エッチング方法
Nojiri et al. Dry Etching of Various Materials
JPH04323823A (ja) ドライエッチング方法
KR20070022608A (ko) 높은 유전상수 물질의 에칭 방법
JPH05343367A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal