KR20220166316A - 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭 - Google Patents

준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭 Download PDF

Info

Publication number
KR20220166316A
KR20220166316A KR1020227038950A KR20227038950A KR20220166316A KR 20220166316 A KR20220166316 A KR 20220166316A KR 1020227038950 A KR1020227038950 A KR 1020227038950A KR 20227038950 A KR20227038950 A KR 20227038950A KR 20220166316 A KR20220166316 A KR 20220166316A
Authority
KR
South Korea
Prior art keywords
metal
metalloid
etching
silicon oxide
hardmask
Prior art date
Application number
KR1020227038950A
Other languages
English (en)
Inventor
사만다 시암화 탄
다니엘 피터
아루니마 대야 발란
영희 이
양 판
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220166316A publication Critical patent/KR20220166316A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

보다 낮은 산소 실리콘 함유 영역에 대해 실리콘 옥사이드 영역에 적어도 하나의 피처 (feature) 를 선택적으로 에칭하기 위한 방법이 제공된다. 준금속 (metalloid) 또는 금속 함유 전구체 및 할로겐 함유 컴포넌트를 포함하는 에칭 가스가 제공된다. 에칭 가스는 플라즈마로 형성된다. 실리콘 옥사이드 영역에 적어도 하나의 피처는 보다 낮은 산소 함유 영역에 대해 선택적으로 에칭되는 한편, 동시에 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성한다.

Description

준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정보, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법들에 관한 것이다. 보다 구체적으로, 본 개시는 다른 재료들에 대한 실리콘 옥사이드 (SiO2) 의 선택적인 에칭에 관한 것이다.
반도체 디바이스들의 가장 작은 피처 (feature) 치수들은 무어의 법칙 (Moore's law) 을 따르기 위해 끊임없이 축소되고 있다. 이들 피처들 중 하나는 제 1 금속 층과 게이트들 및 소스/드레인들 (source/drains; S/D) 을 갖는 실리콘 층 사이의 콘택트 (contact) 이다. 이러한 피처들은 통상적으로 SAC (self-aligned contact) 라는 프로세스에 의해 준비된다. SAC 에칭에서, SiO2는 홀 또는 트렌치를 형성하도록 에칭된다. 나중에, 홀 또는 트렌치는 콘택트 금속으로 충진된다. 스페이서 재료에 대해 SiO2를 선택적으로 에칭하기 위해 선택적인 SiO2 에칭이 채용된다. 스페이서 재료는 통상적으로 실리콘 나이트라이드, 실리콘 옥시-나이트라이드, 또는 실리콘 옥시-카보-나이트라이드와 같은 보다 낮은 산소 함유 실리콘 재료이다. 많은 선택적인 에칭 프로세스들은 충분히 선택적이지 않다. 그 결과 너무 많은 스페이서 재료 또는 스페이서 재료의 코너부들이 에칭되어 전기 누설 및 디바이스 고장 레이트들을 상승시킬 수도 있다.
관련 출원에 대한 교차 참조
본 출원은 2020년 4월 8일에 출원된 미국 특허 출원 번호 제 63/007,201 호의 우선권의 이익을 주장하고, 이는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 보다 낮은 산소 함유 영역에 대해 실리콘 옥사이드 영역에 적어도 하나의 피처 (feature) 를 선택적으로 에칭하기 위한 방법이 제공된다. 준금속 (metalloid) 또는 금속 함유 전구체 및 할로겐 함유 컴포넌트를 포함하는 에칭 가스가 제공된다. 에칭 가스는 플라즈마로 형성된다. 보다 낮은 산소 함유 영역에 대해 실리콘 옥사이드 영역에 적어도 하나의 피처는 선택적으로 에칭되는 한편, 동시에 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성한다.
본 개시의 이들 및 다른 특징들은 이하의 도면들과 함께 이하의 본 개시의 발명을 실시하기 위한 구체적인 내용에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아니라 예로서 예시된다.
도 1은 일 실시 예의 고 레벨 플로우 차트이다.
도 2a 내지 도 2e는 일 실시 예에 따라 프로세싱된 구조체들의 개략적인 단면도들이다.
도 3은 준금속 (metalloid) 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역의 선택적인 에칭의 보다 상세한 플로우 차트이다.
도 4는 또 다른 실시 예에 따라 프로세싱된 구조체의 개략적인 단면도들이다.
도 5는 또 다른 실시 예의 고 레벨 플로우 차트이다.
도 6은 일 실시 예에서 사용될 수도 있는 에칭 챔버의 개략도이다.
도 7은 일 실시 예의 실시에 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 개시는 첨부한 도면들에 예시된 바와 같이 개시의 몇몇 예시적인 실시 예들을 참조하여 이제 상세히 기술될 것이다. 이하의 기술 (description) 에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 본 개시가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 잘 공지된 프로세스 단계들 및/또는 구조체들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
홀 또는 트렌치의 콘택트들 (contacts) 은 아래에 놓인 (underlying) 게이트 및 소스/드레인들 (source/drains; S/D) 각각에 매우 정확하게 배치되어야 (place) 한다. 현재 포토리소그래피 (photolithography) 툴들은 콘택트들의 배치 요건들을 부분적으로만 충족시킬 수 있다. 따라서, 콘택트 에칭은 게이트 둘레의 스페이서를 노출할 수 있다. 이러한 노출은 종종 스페이서 재료의 코너부 손실을 야기하여 전기 누설을 발생시킨다.
선택적인 SiO2 에칭은 스페이서 재료 상의 탄소-기반 폴리머의 선택적인 증착을 사용하여 에칭에 대한 스페이서의 보호에 의해 달성될 수 있다. 그러나, 5 ㎚ (nanometers) 노드 (node) 이하의 홀 및 트렌치의 측방향 치수들은 10 ㎚보다 보다 작을 수 있다. 보다 통상적으로 5 내지 15 ㎚ 범위이다. 종횡비는 6:1보다 보다 클 수 있다. 종횡비는 6:1 내지 12:1 범위일 수도 있다. 탄소-기반 폴리머 마스크의 사용은 제한된 에칭 내성을 갖는다. 제한된 에칭 내성은 SiO2 에칭 동안 스페이서를 보호하기 위해 두꺼운 마스크의 증착을 요구한다. 스페이서 상에 두꺼운 폴리머 재료를 배치하는 것은 콘택트를 막고 (clog up) 핀치-오프할 (pinch-off) 수 있고 그리고 모든 추가 에칭을 차단할 수 있다. 이 핀치-오프는 전기적 개방 콘택트를 발생시키고, 디바이스 고장을 유발한다.
본 개시에서, 새로운 프로세스 및 장치가 기술된다. 프로세스 및 장치는 에칭 프로세스 동안 스페이서 재료 상에 금속 카바이드와 같은 준금속 또는 금속 함유 마스크의 선택적인 증착을 가능하게 한다. 이 마스크는 초기 포토리소그래피에 의해 형성되지 않고 에칭 프로세스 동안 인 시츄 (in-situ) 로 형성된다. 전통적인 탄소-기반 폴리머 마스크와 비교하여 준금속 또는 금속 함유 마스크의 보다 높은 에칭 선택도로 인해, 보다 박형인, 5 ㎚ 미만의 하드마스크가 충분하다. 이 준금속 또는 금속 함유 하드마스크는 스페이서의 코너부 손실 및 종래의 폴리머 재료의 핀치-오프 문제들을 방지할 수 있다.
이해를 용이하게 하기 위해, 도 1은 일 실시 예의 고 레벨 플로우 차트이다. 실리콘 옥사이드 영역을 갖는 구조체가 제공된다 (단계 104). 도 2a는 실리콘 옥사이드 영역 (204) 을 갖는 구조체 (200) 의 일부의 개략적인 단면도이다. 이 예에서, 구조체 (200) 는 또한 실리콘 옥시카보나이트라이드 (SiOCN) 영역 (208), 및 실리콘 (Si) 영역 (212) 을 갖는다. Si 영역 (212) 은 결정질 또는 다결정질, 또는 비정질 실리콘일 수도 있다. SiOCN 재료는 실리콘 옥시나이트라이드 (SiON), 실리콘 옥시카바이드 (SiOC), 실리콘 카보나이트라이드 (SiCN), 유기실리콘 옥사이드 (SiOCHx) (BEOL (back end of line) 로우-k (low-k)), 실리콘 나이트라이드 (SiN), 및 실리콘 카바이드 (SiC) 를 포함할 수도 있다. SiOCN 영역 (208) 및 Si 영역 (212) 은 실리콘 옥사이드 영역들 (204) 보다 보다 낮은 농도의 산소를 갖기 때문에, 보다 낮은 산소 실리콘 함유 영역들로 지정된다 (designate). 이 실시 예에서, 천연 (native) 실리콘 옥사이드 층 (216) 은 SiOCN 영역 (208) 및 Si 영역이 공기에 노출될 때, SiOCN 영역 (208) 및 Si 영역 (212) 위에 자연적으로 형성된다. 도시된 바와 같이, 패터닝된 포토레지스트의 포토리소그래픽 마스크 (220) 가 구조체 (200) 위에 형성된다.
구조체가 제공된 후, 포토리소그래픽 마스크 (220) 에 의해 커버되지 않은 실리콘 옥사이드 영역 (204) 및 천연 실리콘 옥사이드 층 (216) 을 선택적으로 에칭하는 선택적인 사전-에칭 (pre-etch) 이 제공된다 (단계 108). 이 실시 예에서, 실리콘 옥사이드 영역 (204) 은 원자 층 에칭 (atomic layer etch; ALE) 을 사용하여 선택적으로 에칭된다. 이 실시 예에서, ALE는 헥사플루오로-1,3-부타디엔 (C4F6) 의 반응 물질 가스를 제공한다. C4F6는 실리콘 옥사이드 영역 (204) 및 천연 실리콘 옥사이드 층 (216) 위에 폴리머 증착 층을 형성한다. 반응 물질 가스는 퍼지되고, 아르곤 (Ar) 의 활성화 가스가 제공된다. Ar은 증착 층을 활성화시켜 증착된 불소로 하여금 실리콘 옥사이드 영역 (204) 및 천연 실리콘 옥사이드 층 (216) 을 선택적으로 에칭하게 한다. 선택적인 증착 단계 및 선택적인 에칭 단계의 ALE 프로세스는 복수의 사이클들 동안 반복될 수도 있다. 도 2b는 선택적인 사전-에칭 (단계 108) 이 완료된 후 구조체 (200) 의 단면도이다. 이 예에서, 포토리소그래픽 마스크 (220) 에 의해 커버되지 않은 실리콘 옥사이드 영역 (204) 은 부분적으로 에칭되고 그리고 포토리소그래픽 마스크 (220) 에 의해 커버되지 않은 천연 실리콘 옥사이드 층 (216) 은 에칭 제거된다 (etch away). 폴리머의 선택적인 증착 및 실리콘 옥사이드 영역 (204) 을 선택적으로 에칭하는 것은 실리콘 옥사이드 영역 (204) 이 충분히 에칭되고 천연 실리콘 옥사이드 층 (216) 이 제거될 때까지, 반복될 수도 있다. 이러한 에칭 프로세스는 실리콘 옥사이드 영역 (204) 을 선택적으로 에칭한다. 그러나, 선택도는 충분히 높지 않다. SiOCN 영역 (208) 및 Si 영역 (212) 중 일부는 또한 에칭 제거된다. 따라서, 이 에칭은 이 실시 예에서 사전-에칭 프로세스를 위해서만 사용된다. 일부 실시 예들에서, 사전-에칭 프로세스는 사용되지 않는다.
선택적인 사전-에칭이 완료된 후 (단계 108), 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역 (204) 의 선택적인 에칭이 제공된다 (단계 112). 도 3은 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역 (204) 의 선택적인 에칭의 보다 상세한 플로우 차트이다 (단계 112). 이러한 실시 예에서, 준금속 또는 금속 함유 전구체 및 할로겐 함유 컴포넌트를 갖는 에칭 가스가 제공된다 (단계 304). 이 실시 예에서, 에칭 가스는 텅스텐 헥사플루오라이드 (WF6), 디플루오로메탄 (CH2F2), 산소 (O2) 및 아르곤 (Ar) 을 포함한다. 에칭 가스는 에칭 가스를 플라즈마 프로세싱 챔버 내로 흘림으로써 제공된다 (단계 304). 에칭 가스가 플라즈마 프로세싱 챔버 내로 흐르는 동안, 무선 주파수 전력이 에칭 가스를 플라즈마로 변환하도록 제공된다 (단계 308). 일 예에서, 2 내지 500 mTorr의 챔버 압력이 제공된다. 에칭 가스는 1 내지 200 sccm WF6, 1 내지 300 sccm CH2F2, 1 내지 200 sccm 산소, 및 50 내지 1000 sccm Ar을 포함한다. 일 실시 예에서, 30 ℃ 내지 150 ℃ 범위의 기판 또는 척 온도가 제공된다. 다른 실시 예들에서, 30 ℃ 내지 200 ℃ 범위의 기판 또는 척 온도가 제공된다. 다른 실시 예들에서, 기판 또는 척은 약 200 ℃ 이하의 온도로 유지된다. 다른 실시 예들에서, 기판 또는 척은 약 300 ℃ 이하의 온도로 유지된다. 플라즈마로 에칭 가스를 형성하기 위해 바이어스를 제공하고, 20 내지 1000 W (변압기 커플링 플라즈마 (transformer coupled plasma; TCP) 및 바이어스) 는 13.56 ㎒ (megahertz), 60 ㎒, 27 ㎒, 2 ㎒, 1 ㎒, 400 ㎑ (kilohertz) 또는 이들의 조합들 중 하나 이상과 같은 무선 주파수들로 제공된다. 플라즈마는 동시에 보다 낮은 산소 함유 영역에 대해 실리콘 옥사이드 영역을 선택적으로 에칭하고 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성한다 (단계 312).
도 2c는 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역 (204) 의 선택적인 에칭 (단계 112) 이 실리콘 옥사이드 영역 (204) 에 피처 (feature) (228) 를 부분적으로 에칭한 후의 구조체 (200) 의 단면도이다. 플라즈마 내의 불소 및 탄소는 실리콘 옥사이드 영역 (204) 을 에칭한다. 플라즈마 내의 수소, 탄소, 및 금속은 SiOCN 영역 (208) 및 Si 영역 (212) 상에 준금속 또는 금속 함유 하드마스크 (224) 를 선택적으로 증착한다. 플라즈마 내의 수소 및 탄소는 준금속 또는 금속 함유 하드마스크 (224) 를 SiOCN 영역 (208) 및 Si 영역 (212) 상에 선택적으로 증착하도록 준금속 또는 금속 함유 전구체 또는 이의 플라즈마 단편 (fragment) 을 환원시킬 수 있다. 대안적으로, 플라즈마 내의 수소 및 탄소는 SiOCN 영역 (208) 및 Si 영역 (212) 상에 준금속 또는 금속 함유 하드마스크 (224) 를 형성하도록 준금속 또는 금속 함유 전구체 또는 이의 단편과 반응하는 하이드로카본 함유 층을 선택적으로 증착한다. 에칭 가스의 산소 함유 컴포넌트는 실리콘 옥사이드 영역 (204) 상의 하이드로카본 함유 층 또는 준금속 또는 금속 함유 하드마스크 (224) 의 증착을 방지하거나 최소화함으로써 실리콘 옥사이드 영역 (204) 의 에칭을 유지한다. 이 실시 예에서, 준금속 또는 금속 함유 하드마스크 (224) 는 텅스텐 카바이드 하드마스크이다. 준금속 또는 금속 함유 하드마스크 (224) 는 실리콘 옥사이드 영역 (204) 이 에칭되는 동안 보다 낮은 산소 함유 영역들의 에칭을 방지하거나 최소화한다. 그 결과, 에칭 선택도는 무한대에 접근할 수도 있다.
도 2d는 실리콘 옥사이드 영역 (204) 의 선택적인 에칭이 충분히 에칭된 후 구조체 (200) 의 단면도이다. 준금속 또는 금속 함유 하드마스크 (224) 가 실리콘 옥사이드 영역 (204) 의 에칭 동안 연속적으로 증착되기 때문에 그리고 준금속 또는 금속 함유 하드마스크 (224) 가 에칭에 내성이 있기 때문에, 보다 낮은 산소 함유 영역들은 에칭되지 않는다. 이 실시 예에서, 실리콘 옥사이드 영역 (204) 의 에칭이 완료될 때, 준금속 또는 금속 함유 하드마스크 (224) 가 남는다.
실리콘 옥사이드 영역 (204) 의 에칭이 완료된 후, 준금속 또는 금속 함유 하드마스크 (224) 가 제거된다. 이 실시 예에서, 준금속 또는 금속 함유 하드마스크 (224) 를 제거하기 위해 습식 세정이 사용된다. 실시 예에서, 암모니아 (NH3) 및 과산화수소 (H2O2) 의 수용액은 실리콘 옥사이드 영역 (204) 에 대해 준금속 또는 금속 함유 하드마스크 (224) 를 선택적으로 제거하기 위해 사용된다. 도 2e는 준금속 또는 금속 함유 하드마스크 (224) 가 제거된 후의 구조체 (200) 의 단면도이다.
이 실시 예는 보다 낮은 산소 함유 영역들에 대해 매우 선택적인 실리콘 옥사이드 에칭을 제공한다. 다양한 실시 예들에서, 실리콘 옥사이드 영역 (204) 내에서 에칭된 피처 (228) 의 깊이 대 폭 종횡비는 적어도 6:1이다. 예를 들어, 피처 (228) 는 6:1 내지 12:1인 종횡비에 대한 깊이를 갖는다. 이 예에서, 피처 (228) 는 10 ㎚ 미만의 폭을 갖는다. 다양한 실시 예들에서, 피처 (228) 는 6 내지 15 ㎚의 폭을 갖는다. 준금속 또는 금속 함유 하드마스크 (224) 의 사용은 SiOCN 영역 (208) 의 코너부 손실이 방지되거나 감소하도록 SiOCN 영역 (208) 의 에칭을 방지하거나 감소시킨다. 이에 더하여, 준금속 또는 금속 함유 하드마스크 (224) 가 박형으로 유지되기 때문에, 피처 (228) 의 막힘 및 핀치-오프가 방지된다. 일부 실시 예들에서, 사전-에칭 단계 (단계 108) 의 부분적인 에칭은 실리콘 옥사이드 영역 (204) 이 리세스되는, 기하 구조를 제공한다. 일부 실시 예들에서, 실리콘 옥사이드 영역 (204) 의 리세스된 기하 구조는 준금속 또는 금속 함유 하드마스크 (224) 의 보다 선택적인 증착 및 실리콘 옥사이드 영역 (204) 의 선택적인 에칭을 허용한다.
금속 카바이드와 같은 준금속 또는 금속 함유 재료가 매우 높은 융점 및 비등점을 갖기 때문에 준금속 또는 금속 함유 하드마스크 (224) 의 에칭 내성은 높다. 다른 실시 예들에서, 금속 나이트라이드, 금속 보라이드, 또는 금속 실리사이드로 이루어진 다른 준금속 또는 금속 함유 하드마스크들이 금속 카바이드 대신에 또는 금속 카바이드와 함께 준금속 또는 금속 함유 하드마스크들이 고 에칭 내성을 갖는 한 사용될 수 있다. 이 실시 예는 고 에칭 내성을 갖는 준금속 또는 금속 함유 하드마스크 (224) 를 제공하기 때문에, 마스크 두께는 5 ㎚ 미만일 수도 있다. 이 박형 하드마스크는 막힘 위험을 방지함으로써 15 ㎚ 미만의 매우 좁은 피처들의 에칭을 가능하게 한다.
대안적인 실시 예들에서, 실리콘 옥사이드 영역 (204) 의 에칭의 종료 근방에서, 준금속 또는 금속 함유 하드마스크 (224) 의 증착이 감소되거나 중단되도록 준금속 또는 금속 함유 전구체의 플로우가 감소되거나 중단된다. 일 실시 예에서, 실리콘 옥사이드 영역 (204) 의 에칭의 종료 시, 준금속 또는 금속 함유 하드마스크 (224) 의 제거 (단계 116) 가 필요하지 않도록 준금속 또는 금속 함유 하드마스크 (224) 가 에칭 제거된다. 또 다른 실시 예에서, 건식 에칭은 실리콘 옥사이드 영역 (204) 에 대해 준금속 또는 금속 함유 하드마스크를 선택적으로 제거하기 위해 사용된다 (단계 116).
또 다른 실시 예에서, 준금속 또는 금속 함유 전구체 및 할로겐 함유 컴포넌트는 몰리브덴 헥사플루오라이드 (MoF6) 이다. 다른 실시 예들에서, 준금속 또는 금속 함유 전구체는 다른 준금속 할라이드들 또는 금속 할라이드들일 수도 있다. 이러한 실시 예들에서, 준금속 또는 금속 할로겐이 준금속 또는 금속 할라이드로 하여금 가스 또는 증기로서 흐르게 하기 위해 존재한다면, 준금속들, 예컨대 실리콘 (Si), 게르마늄 (Ge), 또는 금속들, 예컨대 주석 (Sn), 티타늄 (Ti), 지르코늄 (Zr), 하프늄 (Hf), 바나듐 (V), 니오븀 (Nb), 탄탈룸 (Ta), 붕소 (B), 알루미늄 (Al), 갈륨 (Ga), 인듐 (In), 철 (Fe), 루테늄 (Ru), 레늄 (Re), 안티몬 (Sb), 텅스텐 (W), 몰리브덴 (Mo), 및 비스무트 (Bi) 가 사용될 수도 있다. 일부 실시 예들에서, 액체 금속 할라이드는 금속 할라이드 증기를 형성하기 위해 가열될 수도 있다. 일부 실시 예들에서, 고체 금속 할라이드는 금속 할라이드 증기를 형성하도록 승화되기 위해 가열될 수도 있다. 일반적으로, 준금속 클로라이드들, 금속 클로라이드들, 준금속 플루오라이드들, 또는 금속 플루오라이드들은 고온들이 아닌 챔버들에서 가스로서 흐를 수도 있는 휘발성인 유일한 할라이드들이다. 준금속 브로마이드 또는 금속 브로마이드가 다른 실시 예들에서 사용될 수도 있다. 다른 실시 예들에서, 전구체는 준금속 옥시할라이드 또는 금속 옥시할라이드일 수도 있다. 일부 실시 예들에서, 하드마스크는 금속 함유 하드마스크이다.
하이드로카본 함유 층을 증착하는 실시 예들에서, 하이드로카본 함유 층은 순수한 하이드로카본 층일 수도 있다. 다른 실시 예들에서, 하이드로플루오로카본들과 같은, 다른 구성 성분들 (constituents) 이 하이드로카본들에 더하여 존재할 수도 있다. 다른 실시 예들에서, 하이드로카본 함유 층은 하이드로플루오로카본을 포함할 수도 있다.
다른 실시 예들에서, 다른 보다 낮은 산소 영역들이 보다 낮은 산소 실리콘 함유 영역들 대신 사용될 수도 있다. 예를 들어, 실리콘 게르마늄 (SiGe), 게르마늄 (Ge), 원소 금속 또는 금속 나이트라이드들은 SiO2가 이들 재료들에 대해 선택적으로 에칭될 수도 있도록, 보다 낮은 산소 영역들을 형성할 수도 있고 보호될 수도 있다.
다양한 실시 예들에서, 준금속 또는 금속 함유 전구체를 포함하는 것에 더하여, 에칭 가스는 탄소 함유 컴포넌트 및 수소 함유 컴포넌트를 포함한다. 일부 실시 예들에서, 수소 함유 컴포넌트 및 탄소 함유 컴포넌트는 디플루오로메탄 (CH2F2), 플루오로포름 (CHF3), 플루오로메탄 (CH3F), 메탄 (CH4), 헥사플루오로-2-부틴 (C4F6), 아세틸렌 (C2H2), 탄소 테트라플루오라이드 (CF4), 및 옥타플루오로시클로부탄 (C4F8) 중 적어도 하나를 포함한다. 일부 실시 예들에서, 에칭 가스는 산소 함유 컴포넌트를 더 포함한다. 일부 실시 예들에서, 산소 함유 컴포넌트는 산소 (O2), 오존 (O3), 이산화탄소 (CO2), 일산화탄소 (CO), 산화질소 (NO), 이산화질소 (NO2), 아산화질소 (N2O), 이산화황 (SO2), 삼산화황 (SO3), 물 (H2O), 페록사이드 (H2O2), 및 카르보닐 설파이드 (COS) 중 적어도 하나를 포함한다. 다양한 실시 예들에서, 에칭 가스는 불활성 가스를 더 포함한다. 일부 실시 예들에서, 불활성 가스는 질소, 헬륨, 아르곤 및 네온으로 구성된 그룹으로부터 선택된다. 다양한 실시 예들에서, 플라즈마는 13.56 ㎒, 60 ㎒, 27 ㎒, 2 ㎒, 1 ㎒, 400 ㎑ (kilohertz), 또는 이들의 조합들 중 하나 이상과 같은 무선 주파수들로 30 내지 500 W의 전력으로 5 내지 500 mTorr (millitorr) 의 압력에서 형성된다.
또 다른 실시 예는 실리콘 옥사이드 영역을 갖는 구조체를 제공하는 이전 실시 예의 동일한 단계를 사용한다 (단계 104). 이에 더하여, 이 실시 예는 이전의 실시 예에서 사용된 바와 같이, 실리콘 옥사이드 영역 (204) 및 천연 실리콘 옥사이드 층 (216) 을 선택적으로 에칭하는 선택적인 사전-에칭 단계 (단계 108) 의 동일한 단계를 사용할 수도 있다. 이 실시 예에서, 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역 (204) 의 선택적인 에칭 (단계 112) 은 이전의 실시 예에서 사용된 에칭 가스 화학 물질과 상이한 에칭 가스 화학 물질을 사용한다. 이 실시 예에서, 에칭 가스는 준금속 또는 금속 함유 전구체 및 할로겐 함유 컴포넌트를 포함한다. 일 실시 예에서, WF6 및 수소 (H2) 를 포함하는 에칭 가스가 흐른다 (단계 304). 에칭 가스는 플라즈마로 변환된다 (단계 308). 플라즈마는 동시에 보다 낮은 산소 함유 영역에 대해 실리콘 옥사이드 영역 (204) 을 선택적으로 에칭하고 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성한다 (단계 312).
다양한 실시 예들에서, 선택적인 사전-에칭 (단계 108) 은 임의의 천연 실리콘 옥사이드 층 (216) 의 제거를 허용한다. 보다 낮은 산소 함유 영역이 천연 옥사이드 층을 형성하지 않는다면, 선택적인 사전-에칭 (단계 108) 이 필요하지 않을 수도 있다. 선택적인 사전-에칭 (단계 108) 에 의한 실리콘 옥사이드 영역 (204) 의 부분적인 에칭은 하드마스크의 선택적인 증착을 개선하는 것을 돕는다. 그러나, 하드마스크는 선택적인 사전-에칭 (단계 108) 없이 선택적으로 증착될 수도 있다.
도 4는 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역 (404) 의 선택적인 에칭 (단계 112) 이 보다 낮은 산소 함유 영역 (408) 에 대해 실리콘 옥사이드 영역 (404) 을 부분적으로 에칭한 후 구조체 (400) 의 단면도이다. WF6의 준금속 또는 금속 함유 전구체 및 H2의 환원제는 텅스텐의 천연 금속 하드마스크 (424) 로 하여금 실리콘 옥사이드 영역 (404) 에 대해 보다 낮은 산소 함유 영역 (408) 상에 선택적으로 증착되게 한다. WF6의 할로겐 함유 컴포넌트는 불소의 할로겐을 제공한다. 플라즈마 내의 불소는 실리콘 옥사이드 영역 (404) 내의 피처 (428) 를 에칭하는 한편, 천연 금속 하드마스크 (424) 는 보다 낮은 산소 함유 영역 (408) 이 에칭되는 것을 방지한다. 이러한 실시 예는 무한 에칭 선택도를 제공할 수도 있다. 보다 낮은 산소 함유 영역 (408) 내의 보다 낮은 농도의 산소 및 보다 높은 농도의 실리콘은 천연 금속 하드마스크 (424)로 하여금 실리콘 옥사이드 영역 (404) 에 대해 보다 낮은 산소 함유 영역 (408) 상에 선택적으로 증착되게 한다.
또 다른 실시 예는 도 5에 도시된 플로우 차트에 의해 도시된다. 이러한 실시 예에서, 구조체에 실리콘 옥사이드 영역이 제공된다 (단계 504). 컨디셔닝이 제공된다 (단계 508). 컨디셔닝은 개선된 선택적인 에칭을 제공하도록 구조체를 컨디셔닝하기 위해 사용될 수도 있다. 일부 실시 예들은 컨디셔닝 프로세스를 사용하지 않을 수도 있다. 컨디셔닝이 제공된 후, 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역의 선택적인 에칭이 제공된다 (단계 512). 일부 실시 예들에서, 컨디셔닝은 H2, 질소 (N2), H2/N2, 및 NH3 중 적어도 하나로부터 형성된 플라즈마와 같은 전처리 플라즈마를 제공하는 것을 포함한다.
이 실시 예에서, 실리콘 옥사이드 영역이 준금속 또는 금속 함유 하드마스크를 남기고 부분적으로 에칭된 후, 실리콘 옥사이드 영역은 준금속 또는 금속 함유 하드마스크를 활용하는 ALE 프로세스에 의해 더 또는 부가적으로 에칭된다 (단계 516). 이 실시 예에서, ALE는 C4F6의 반응 물질 가스를 제공한다. C4F6는 실리콘 옥사이드 영역 및 준금속 또는 금속 함유 하드마스크 위에 폴리머 증착 층을 형성한다. 반응 물질 가스는 퍼지되고, Ar의 활성화 가스가 제공된다. Ar은 증착 층을 활성화시켜 증착된 불소로 하여금 준금속 또는 금속 함유 하드마스크에 대해 실리콘 옥사이드 영역을 선택적으로 에칭하게 한다. 선택적인 증착 단계 및 선택적인 에칭 단계의 ALE 프로세스는 복수의 사이클들 동안 반복될 수도 있다.
ALE 프로세스가 준금속 또는 금속 함유 하드마스크를 증착하지 않기 때문에, 준금속 또는 금속 함유 하드마스크는 ALE 프로세스 동안 소모될 수도 있다. 준금속 또는 금속 함유 하드마스크가 실리콘 옥사이드 영역의 에칭이 완료되기 전에 충분히 소모된다면, 준금속 또는 금속 함유 하드마스크를 보충하기 (replenish) 위해 ALE 프로세스 (단계 516) 는 중단될 수도 있고 그리고 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역의 선택적인 에칭 (단계 512) 이 반복될 수도 있다 (단계 520). 준금속 또는 금속 하드마스크가 보충된 후, 준금속 또는 금속 함유 하드마스크를 증착하는 동안 실리콘 옥사이드 영역의 선택적인 에칭 (단계 512) 이 중단된다. ALE 프로세스는 실리콘 옥사이드 영역을 더 에칭하기 위해 사용될 수도 있다 (단계 516). 실리콘 옥사이드를 선택적으로 에칭하고 준금속 또는 금속 함유 하드마스크를 증착하는 단계들 (단계 512) 및 준금속 또는 금속 함유 하드마스크를 사용하는 ALE (단계 516) 는 실리콘 옥사이드 영역에 피처가 충분히 에칭될 때까지 순환적으로 반복될 수도 있다. 일단 실리콘 옥사이드 영역에 피처가 충분히 에칭되면, 순환적 프로세스가 중단될 수도 있다. ALE 프로세스 (단계 516) 가 준금속 또는 금속 하드마스크를 소비하기 때문에, 이 실시 예에서 별개의 금속 또는 준금속 하드마스크 제거 단계가 필요하지 않다.
다른 실시 예들에서, 다른 수소 함유 컴포넌트들 및 다른 할로겐 함유 컴포넌트들이 사용될 수도 있다. 예를 들어, 플루오르화 수소 (HF), 암모니아 (NH3), 보란 (BH3), 또는 실란 (SiH4) 이 H2를 대체할 수도 있다.
또 다른 실시 예에서, 선택적인 탄소 증착이 사전-처리로서 사용될 것이다. 이 탄소 층은 보다 낮은 산소 함유 영역들에 우선적으로 증착되고, 이들 각각의 층들 상에 금속 카바이드의 증가된 증착을 가능하게 한다.
일부 실시 예들에서, 하드마스크의 증착 및 에칭은 별개의 순차적인 단계들로 이루어질 수도 있다. 그러나, 단일 연속 단계에서 동시에 하드마스크를 증착하고 에칭하는 것은 보다 빠른 프로세스를 제공할 수도 있다.
일 예에서, 도 6은 일 실시 예에서 사용될 수도 있는 플라즈마 프로세싱 시스템 (600) 의 일 예를 개략적으로 예시한다. 플라즈마 프로세싱 시스템 (600) 은 일 실시 예에 따라 기판 (601) 을 프로세싱하기 위해 사용될 수도 있다. 플라즈마 프로세싱 시스템 (600) 은 챔버 벽 (662) 에 의해 인클로징된 (enclose), 플라즈마 프로세싱 챔버 (604) 를 갖는 플라즈마 반응기 (602) 를 포함한다. 플라즈마 매칭 네트워크 (608) 에 의해 튜닝된, 플라즈마 전력 공급부 (606) 는, 유도적으로 커플링된 (inductively couple) 전력을 제공함으로써 플라즈마 프로세싱 챔버 (604) 내에 플라즈마 (614) 를 생성하도록 전력 윈도우 (612) 근방에 위치된 TCP 코일 (610) 에 전력을 공급한다. TCP 코일 (상부 전력 소스 (power source)) (610) 은 플라즈마 프로세싱 챔버 (604) 내에 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TCP 코일 (610) 은 플라즈마 (614) 내에 토로이달 (toroidal) 전력 분포를 생성하도록 구성될 수도 있다. 전력 윈도우 (612) 는 에너지로 하여금 TCP 코일 (610) 로부터 플라즈마 프로세싱 챔버 (604) 로 통과하게 하면서 플라즈마 프로세싱 챔버 (604) 로부터 TCP 코일 (610) 을 분리하도록 제공된다. 바이어스 매칭 네트워크 (618) 에 의해 튜닝된 웨이퍼 바이어스 전압 전력 공급부 (616) 는 기판 (601) 상에 바이어스 전압을 설정하기 위해 전극 (620) 에 전력을 제공한다. 전극 (620) 은 기판 (601) 에 대한 척을 제공하고, 전극 (620) 은 정전 척으로서 작용한다. 기판 온도 제어기 (666) 는 펠티어 (Peltier) 히터/냉각기 (668) 에 제어 가능하게 연결된다. 제어기 (624) 는 플라즈마 전력 공급부 (606), 기판 온도 제어기 (666) 및 웨이퍼 바이어스 전압 전력 공급부 (616) 를 제어한다.
플라즈마 전력 공급부 (606) 및 웨이퍼 바이어스 전압 전력 공급부 (616) 는 13.56 ㎒, 60 ㎒, 27 ㎒, 2 ㎒, 1 ㎒, 400 ㎑, 또는 이들의 조합들과 같은 특정한 무선 주파수들로 동작하도록 구성될 수도 있다. 플라즈마 전력 공급부 (606) 및 웨이퍼 바이어스 전압 전력 공급부 (616) 는 목표된 프로세스 성능을 달성하기 위해 다양한 전력들을 공급하도록 적절하게 사이징될 (sized) 수도 있다. 예를 들어, 일 실시 예에서, 플라즈마 전력 공급부 (606) 는 50 내지 5000 W 범위의 전력을 공급할 수도 있고, 그리고 웨이퍼 바이어스 전압 전력 공급부 (616) 는 20 내지 2000 V 범위의 바이어스 전압을 공급할 수도 있다. 이에 더하여, TCP 코일 (610) 및/또는 전극 (620) 은 2 개 이상의 서브-코일들 또는 서브-전극들로 구성될 수도 있다. 2 개 이상의 서브-코일들 또는 서브-전극들은 단일 전력 공급부에 의해 전력 공급되거나 복수의 전력 공급부들에 의해 전력 공급될 수도 있다.
도 6에 도시된 바와 같이, 플라즈마 프로세싱 시스템 (600) 은 가스 소스 (630) 를 더 포함한다. 가스 소스 (630) 는 노즐의 형태로 피드 (636) 에 가스 또는 리모트 (remote) 플라즈마를 제공한다. 프로세스 가스들 및 부산물들은 압력 제어 밸브 (642) 및 펌프 (644) 를 통해 플라즈마 프로세싱 챔버 (604) 로부터 제거된다. 압력 제어 밸브 (642) 및 펌프 (644) 는 또한 플라즈마 프로세싱 챔버 (604) 내에 특정한 압력을 유지하기 위한 역할을 한다 (serve). 가스 소스 (630) 는 제어기 (624) 에 의해 제어된다. CA, Fremont 소재의 Lam Research Corp.에 의한 Kiyo®가 일 실시 예를 실시하기 위해 사용될 수도 있다. 이 실시 예는 유도 커플링 플라즈마 (inductively coupled plasma; ICP) 시스템이다. 다른 실시 예들에서, 용량 커플링 플라즈마 (capacitively coupled plasma; CCP) 시스템이 사용될 수도 있다.
일 실시 예에서 제어기 (624) 의 일 예를 제공하기 위해, 도 7은 실시 예들에서 사용된 제어기 (624) 를 구현하기 적합한 컴퓨터 시스템 (700) 을 도시하는 고 레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 및 소형 휴대용 디바이스로부터 대형 슈퍼컴퓨터까지 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (700) 은 하나 이상의 프로세서들 (702) 을 포함하고, (그래픽들, 텍스트 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (704), 메인 메모리 (706) (예를 들어, RAM (Random Access Memory)), 저장 디바이스 (708) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (710) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (712) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들, 등) 및 통신 인터페이스 (714) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (714) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (700) 과 외부 디바이스들 사이에서 이송되게 한다. 시스템은 또한 전술한 디바이스들/모듈들에 연결된 통신 인프라스트럭처 (716) (예를 들어, 통신 버스, 크로스-오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (714) 를 통해 전달된 정보는 신호들을 전달하고, 유선 또는 케이블, 광섬유, 전화선, 휴대전화 링크, 무선 주파수 링크 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해, 통신 인터페이스 (714) 에 의해 수신될 수 있는 전자, 전자기, 광학, 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (702) 이 상기 기술된 방법 단계들을 수행하는 동안 네트워크로부터 정보를 수신할 수도 있고, 또는 네트워크에 정보를 출력할 수도 있다는 것이 고려된다. 또한, 방법 실시 예들은 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
용어 "비일시적 컴퓨터 판독가능 매체"는 일반적으로 메인 메모리, 보조 메모리, 이동식 저장장치, 및 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태들의 영구 메모리와 같은 저장 디바이스들과 같은 매체를 지칭하도록 사용되고, 반송파들 또는 신호들과 같은 일시적 주제를 커버하는 것으로 해석되지 않는다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행되는 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독 가능 매체는 또한 반송파에 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 개시가 몇몇의 예시적인 실시 예들의 측면에서 기술되었지만, 본 개시의 범위 내에 속하는 변경들, 수정들, 치환들, 및 다양한 대체 등가물들이 있다. 또한 본 개시의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것에 유의해야 한다. 따라서 이하의 첨부된 청구항들은 본 개시의 진정한 정신 및 범위 내에 속하는 이러한 변경들, 수정들, 치환들 및 다양한 대체 등가물들을 모두 포함하는 것으로 해석되는 것이 의도된다.

Claims (17)

  1. 보다 낮은 산소 함유 영역에 대해 실리콘 옥사이드 영역에 적어도 하나의 피처 (feature) 를 선택적으로 에칭하는 방법에 있어서,
    준금속 (metalloid) 또는 금속 함유 전구체 및 할로겐 함유 컴포넌트를 포함하는 에칭 가스를 제공하는 단계;
    상기 에칭 가스를 플라즈마로 형성하는 단계; 및
    동시에, 보다 낮은 산소 함유 영역에 대해 실리콘 옥사이드 영역에 적어도 하나의 피처를 선택적으로 에칭하는 단계, 및 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성하는 단계를 포함하는, 선택적 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 가스는 탄소 함유 컴포넌트 및 수소 함유 컴포넌트를 더 포함하는, 선택적 에칭 방법.
  3. 제 2 항에 있어서,
    상기 수소 함유 컴포넌트 및 상기 탄소 함유 컴포넌트는 하이드로카본 또는 하이드로플루오로카본 중 적어도 하나를 포함하는, 선택적 에칭 방법.
  4. 제 2 항에 있어서,
    상기 수소 함유 컴포넌트 및 상기 탄소 함유 컴포넌트는 CH2F2, CHF3, CH3F, CH4, C4F6, C2H2, CF4, 및 C4F8 중 적어도 하나를 포함하는, 선택적 에칭 방법.
  5. 제 2 항에 있어서,
    상기 에칭 가스는 O2, O3, CO2, CO, NO, NO2, N2O, SO2, SO3, H2O, H2O2, 및 COS 중 적어도 하나를 포함하는 산소 함유 컴포넌트를 더 포함하는, 선택적 에칭 방법.
  6. 제 2 항에 있어서, 상기 에칭 가스는 질소, 헬륨, 아르곤, 및 네온으로 구성된 그룹으로부터의 불활성 가스를 더 포함하는, 선택적 에칭 방법.
  7. 제 1 항에 있어서,
    상기 에칭 가스를 제공하기 전에 선택적인 사전-에칭을 제공하는 단계를 더 포함하고, 상기 선택적인 사전-에칭은 준금속 함유 하드마스크 또는 금속 함유 하드마스크를 형성하지 않고 상기 보다 낮은 산소 함유 영역에 대해 상기 실리콘 옥사이드 영역에 상기 적어도 하나의 피처를 선택적으로 그리고 부분적으로 에칭하고 그리고 천연 (native) 실리콘 옥사이드 층을 에칭하는, 선택적 에칭 방법.
  8. 제 1 항에 있어서,
    상기 준금속 또는 금속 함유 전구체 및 상기 할로겐 함유 컴포넌트는 준금속 할라이드 또는 금속 할라이드인, 선택적 에칭 방법.
  9. 제 1 항에 있어서,
    상기 준금속 또는 금속 함유 전구체 및 상기 할로겐 함유 컴포넌트는 WF6 및 MoF6 중 적어도 하나를 포함하는, 선택적 에칭 방법.
  10. 제 1 항에 있어서,
    상기 준금속 또는 금속 함유 전구체의 준금속 또는 금속은 실리콘 (Si), 게르마늄 (Ge), 주석 (Sn), 티타늄 (Ti), 지르코늄 (Zr), 하프늄 (Hf), 바나듐 (V), 니오븀 (Nb), 탄탈룸 (Ta), 붕소 (B), 알루미늄 (Al), 갈륨 (Ga), 인듐 (In), 철 (Fe), 루테늄 (Ru), 레늄 (Re), 안티몬 (Sb), 텅스텐 (W), 몰리브덴 (Mo), 또는 비스무트 (Bi), 중 적어도 하나를 포함하는, 선택적 에칭 방법.
  11. 제 1 항에 있어서,
    상기 플라즈마는 30 내지 500 W의 전력으로 2 내지 500 mTorr (millitorr) 의 압력에서 형성되는, 선택적 에칭 방법.
  12. 제 1 항에 있어서,
    상기 동시에, 보다 낮은 산소 함유 영역에 대해 상기 실리콘 옥사이드 영역에 상기 적어도 하나의 피처를 선택적으로 에칭하는 단계 및 상기 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성하는 단계 후 상기 실리콘 옥사이드 영역에 상기 적어도 하나의 피처의 원자 층 에칭 (atomic layer etch; ALE) 을 제공하는 단계를 더 포함하고, 상기 원자 층 에칭은 상기 보다 낮은 산소 함유 영역의 에칭을 감소시키기 위해 상기 준금속 또는 금속 함유 하드마스크를 사용하는, 선택적 에칭 방법.
  13. 제 1 항에 있어서,
    상기 적어도 하나의 피처는 15 ㎚ 미만의 폭 및 적어도 6:1의 깊이 대 폭 종횡비를 갖는, 선택적 에칭 방법.
  14. 제 1 항에 있어서,
    상기 동시에, 보다 낮은 산소 함유 영역에 대해 상기 실리콘 옥사이드 영역에 상기 적어도 하나의 피처를 선택적으로 에칭하는 단계 및 상기 보다 낮은 산소 함유 영역 위에 준금속 또는 금속 함유 하드마스크를 형성하는 단계 후 상기 실리콘 옥사이드 영역에 상기 적어도 하나의 피처의 부가적인 에칭을 제공하는 단계를 더 포함하고, 상기 부가적인 에칭은 상기 준금속 또는 금속 함유 하드마스크를 더 증착하지 않고 마스크로서 상기 준금속 또는 금속 함유 하드마스크를 사용하는, 선택적 에칭 방법.
  15. 제 14 항에 있어서,
    상기 적어도 하나의 피처의 상기 부가적인 에칭 후에 상기 준금속 또는 금속 함유 하드마스크를 제거하는 단계를 더 포함하는, 선택적 에칭 방법.
  16. 제 15 항에 있어서,
    상기 준금속 또는 금속 함유 하드마스크를 제거하는 단계는 습식 세정을 포함하는, 선택적 에칭 방법.
  17. 제 1 항에 있어서,
    300 ℃ 이하의 온도를 제공하는 단계를 더 포함하는, 선택적 에칭 방법.
KR1020227038950A 2020-04-08 2021-04-06 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭 KR20220166316A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063007201P 2020-04-08 2020-04-08
US63/007,201 2020-04-08
PCT/US2021/026063 WO2021207286A1 (en) 2020-04-08 2021-04-06 Selective etch using deposition of a metalloid or metal containing hardmask

Publications (1)

Publication Number Publication Date
KR20220166316A true KR20220166316A (ko) 2022-12-16

Family

ID=78022595

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038950A KR20220166316A (ko) 2020-04-08 2021-04-06 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭

Country Status (5)

Country Link
US (1) US20230118701A1 (ko)
KR (1) KR20220166316A (ko)
CN (1) CN115380364A (ko)
TW (1) TW202205364A (ko)
WO (1) WO2021207286A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
WO2023101915A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Selective etch using fluorocarbon-based deposition of a metalloid or metal
WO2023215040A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Co-deposition and etch process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP6587580B2 (ja) * 2016-06-10 2019-10-09 東京エレクトロン株式会社 エッチング処理方法
KR20200123481A (ko) * 2018-03-16 2020-10-29 램 리써치 코포레이션 유전체들의 고 종횡비 피처들의 플라즈마 에칭 화학물질들

Also Published As

Publication number Publication date
CN115380364A (zh) 2022-11-22
WO2021207286A1 (en) 2021-10-14
TW202205364A (zh) 2022-02-01
US20230118701A1 (en) 2023-04-20

Similar Documents

Publication Publication Date Title
KR102447088B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
KR100892797B1 (ko) 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US8518282B2 (en) Method of controlling etch microloading for a tungsten-containing layer
KR20220166316A (ko) 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
KR102643106B1 (ko) 교번하는 에칭 및 패시베이션 프로세스
US10658194B2 (en) Silicon-based deposition for semiconductor processing
KR20210024658A (ko) 나노와이어들을 위한 선택적인 에칭
US11450532B2 (en) Deposition of self assembled monolayer for enabling selective deposition and etch
US20220301853A1 (en) Method for etching features using a targeted deposition for selective passivation
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
TW202338975A (zh) 使用類金屬或金屬之基於氟碳化合物之沉積的選擇性蝕刻
US20230268192A1 (en) In-situ hydrocarbon-based layer for non-conformal passivation of partially etched structures
TWI838003B (zh) 半導體裝置製造中之氧化錫膜
WO2023215040A1 (en) Co-deposition and etch process
JP2023529454A (ja) チャンバ洗浄方法

Legal Events

Date Code Title Description
A201 Request for examination