JP4594988B2 - 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復 - Google Patents

金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復 Download PDF

Info

Publication number
JP4594988B2
JP4594988B2 JP2007538874A JP2007538874A JP4594988B2 JP 4594988 B2 JP4594988 B2 JP 4594988B2 JP 2007538874 A JP2007538874 A JP 2007538874A JP 2007538874 A JP2007538874 A JP 2007538874A JP 4594988 B2 JP4594988 B2 JP 4594988B2
Authority
JP
Japan
Prior art keywords
silylating agent
film
applying
low
silylating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007538874A
Other languages
English (en)
Other versions
JP2008518460A (ja
Inventor
チャクラパニ、ニルパーマ
コルバーン、マシュー、イー
ディミトラコポーロス、クリストス、ディー
ニッタ、サティアナラヤーナ、ヴィー
ファイファー、ダーク
プルショザマン、サンパス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008518460A publication Critical patent/JP2008518460A/ja
Application granted granted Critical
Publication of JP4594988B2 publication Critical patent/JP4594988B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、コンピュータ、マイクロプロセッサ、マイクロコントローラ、センサ、通信機器等に用いられる非常に高性能のマイクロエレクトロニック・チップ上の相互接続配線回路網に関する。特に、本明細書中で説明される本発明の構造体は、これらの配線に関連する信号伝搬の遅延を顕著に低減することに関する。詳述され特許請求される本発明の方法は、低誘電率誘電体が必要なプラズマ暴露によって親水性にされた後、その誘電特性を回復するのに必要な化学と処理方法、並びに多孔質有機シリケート誘電体が堆積された後、及びこれらの膜を含む相互接続構造を構築する工程の間に、その機械的強度を増大し、かつ低誘電率を維持するために必要な化学と方法を提供する。本発明は、さらに、これらの材料をそのようなチップに首尾よく集積化することを可能にする方法に関する。
高性能のマイクロプロセッサ、マイクロコントローラ及び通信チップは、論理演算、データの格納及び検索、制御信号の供給等の種々の機能を実行するために用いられるアクティブ・トランジスタ・デバイス間の非常に高速な相互接続を必要とする。現在の超大規模集積に至るトランジスタ・デバイス技術の進歩につれて、これらの進歩したチップの総合的な演算速度は、チップ上の個々のデバイス間の相互接続配線における信号伝搬の遅延によって制限され始めている。相互接続における信号伝搬遅延はRC積に依存するが、ここでRは相互接続配線の抵抗を示し、Cはその配線が埋め込まれた相互接続機構の総合的な静電容量を表す。アルミニウムの代わりに銅を相互接続配線材料として用いることで、RC積に対する抵抗の寄与を減らすことが可能となった。マイクロエレクトロニクス工業における現在の焦点は、チップ上に多層相互接続構造体を構築する際に、より低い誘電率(k)の絶縁体を使用することによって、相互接続の静電容量を低減することにある。
そのような小さなスケールで相互接続配線回路網を作製する1つの従来技術の方法は、図1から図7までに模式的に示されるデュアル・ダマシン(DD)工程である。図1を参照すると、標準的なDD工程においては、2つの層1110、1120として示される金属間誘電体(IMD)が基板1100上にコートされる。ビア・レベルの誘電体1110とライン・レベルの誘電体1120は、工程フローの説明を明確にするために別々に示されている。一般に、これらの2層は同じか又は異なる絶縁膜で作成することができ、前者の場合には単一のモノリシック層として付与される。ハード・マスク層又は積層体1130は、随意に、エッチングの選択性を促進するため、及び研磨ストップとして機能させるために用いられる。配線相互接続回路網は、2種類のフィーチャ、即ち、チップを横断する距離に渡るライン・フィーチャと、複数レベルの積層体における相互接続の異なるレベル内のラインを接続するビア・フィーチャとから成る。歴史的に、両層は、プラズマ増強化学気相堆積法(PECVD)によって堆積された二酸化シリコン(SiO)又はフッ素化シリカ・ガラス(FSG)のような無機ガラスから作成される。
図2及び図3を参照すると、デュアル・ダマシン工程において、ライン1150及びビア1170の位置は、それぞれ、フォトレジスト層1500及び1510内でリソグラフィーにより画定され、反応性イオン・エッチング工程を用いてハード・マスク層及びIMD層中に転写される。図1から図4までに示される工程シーケンスは「ライン・ファースト」法と呼ばれる。図4に示されるように、トレンチの形成後、リソグラフィーを用いてビア・パターン1170がフォトレジスト層1510内に画定され、そのパターンが誘電体材料に転写されてビア開口部1180を生成する。フォトレジストが除去された後のデュアル・ダマシンのトレンチ及びビア構造体1190が図5に示される。
図6に示されるように、次に窪み構造体1190は導電性ライナー材料又は材料積層体1200でコートされるが、これらは導電体金属ライン及びビアを保護するように機能し、また、導電体とIMDとの間の接着層として機能する。次にこの窪みは、パターン付けされた基板の表面を覆う導電性充填材料1210で充填される。この充填は最も一般的には銅の電気めっきによって達成されるが、化学気相堆積法(CVD)のような他の方法、及びアルミニウム又は金のような他の材料もまた用いることができる。次に、充填材料及びライナー材料は、化学機械研磨(CMP)によってハード・マスクの表面と同一平面となるように研磨されるが、この段階の構造は図6に示される。図7に示されるように、キャッピング材料1220がブランケット膜として堆積させられるが、これは、露出した金属表面を保護し、金属とその上に堆積させられる任意の追加のIMD層との間の拡散障壁として機能する。PECVDによって堆積させた窒化シリコン、炭化シリコン、及び炭窒化シリコンの膜が、普通キャッピング材料1220として用いられる。この工程シーケンスは、デバイス上の相互接続の各レベルに対して繰り返される。2つの相互接続フィーチャは同時に画定されて、単一の研磨段階で、絶縁体内にはめ込まれた導電体を形成するので、この工程はデュアル・ダマシン工程と呼ばれる。
静電容量を小さくするためには、より小さなkの誘電体、例えば、2.5から3.1までの範囲のk値を有するPECVD又はスピン・オン有機シリケートを、PECVD二酸化シリコン・ベースの誘電体(k=3.6から4.1)の代わりに用いることが必要である。これらの有機シリケートは、水素及び/又はアルキル若しくはアリール基のような有機基が網目構造中のSi原子に直接結合したシリカ様の骨格を有する。これらの元素組成物は通常、種々の比率のSi、C、O、及Hから成る。C及びHは殆どの場合メチル基(−CH)の形で存在する。これらのメチル基の第一の機能は、材料に疎水性を与えることである。第二の機能は、これらの膜中に空隙を生成して、その分極率を低下させることである。k値は、これらの絶縁体に多孔性を導入することによって、2.2(超低k)まで、そして2.0未満(極端に低いk)にまでも、さらに小さくすることができる。簡潔のために、本明細書においては、これらの超低k及び極端低k材料をまとめて、極低k材料と呼ぶ。
この極低k材料の組によってk値の範囲は調整可能となるが、これらの材料を上記のデュアル・ダマシン工程によって、又はデュアル・ダマシン工程の任意の他の変形工程によって銅の相互接続と統合することには、幾つかの困難がある。主たる困難は、有機シリケート・ベースの材料が、プラズマ暴露に対して非常に敏感であることであるが、その理由は、Si−有機基結合(例えば、Si−メチル)の酸化又は開裂が比較的容易であり、その結果、周囲の雰囲気中の湿気との可能な反応によって、膜内にシラノール(Si−OH)基が形成されるからである。シラノールは水を吸収し、そのため膜の誘電率及び誘電損失係数が顕著に増大し、それゆえ極低k膜から期待される性能の利点を無効にする。シラノールはまた膜中の電気的な漏れを増加させ、そのため潜在的に信頼できない相互接続構造体を生成する。上記のように、反応性イオン・エッチング及びプラズマ・エッチングは、デュアル・ダマシンのトレンチ及びビア構造の形成、並びに極低k材料のパターン付けに用いられるフォトレジストの除去において必要な重要なステップなので、従来技術のデュアル・ダマシン集積の際にこの種類の膜のプラズマ損傷を避けることは、不可能ではなくとも、非常に困難である。
He、H、N、CO等のうちの幾つか又は全てから成る非酸化性のレジスト除去プラズマを用いて、低k膜における疎水性の損失を最小にする幾つかの試みが成されている。しかし、これらのプラズマ化学のいずれも、極低k材料の疎水性の損失を回避することに完全には成功していないことに注意されたい。このことは、特に、非常に大きな表面積を有し、レジスト除去工程の際に損傷を受け易い多孔質低k材料の場合に当てはまる。
低k材料の疎水性及び誘電特性の損失を回避する別の方法は、デュアル・ダマシン加工処理に関連する伝統的な工程のプラズマ暴露の際に損傷を受けにくい、フッ素化又は非フッ素化有機ポリマー・ベースの低k材料、例えば、Dow ChemicalのSiLK(登録商標)誘電体、HoneywellのFlare(登録商標)及び他のポリイミド、ベンゾシクロブテン、ポリベンゾキサゾール、ポリフェニレンエーテル・ベースの芳香族熱硬化性ポリマー、並びにポリパラキシリレンンのような化学気相堆積ポリマー、を使用することである。しかし、これらの材料は、低k誘電膜に必要とされる他の特性、例えば低い熱膨張及び小さな孔経等を有していない。
有機シリケート・ベースの多孔質材料の首尾よい集積化に直面するもう一つの問題は、それらの低い弾性率、破壊強度及び硬度により機械的に非常に脆弱であり、CMP、ダイシング及びパッケージング操作中に破損に至ることが多い。これらの樹脂の機械的強度は、ボイドの体積及びそれらの化学構造の両方に依存する。それらの機械的強度は、多孔性が高まるにつれて、また、シロキサン骨格のかご状構造が増加するにつれて低下する。低い誘電率を維持することは不可避なので、同じ機械的強度を維持したままボイドの体積を減少させることは非常に困難である。
機械的強度の弱い多孔質有機シリケート材料の幾つかの取り扱い方法(Padhi他による非特許文献1、及び、本発明と同一の譲受人に譲渡されたCanaperi他による特許文献1)が提案されているが、これらの方法の大部分は、これらの方法が標準的ではない工程フローあるいは標準的ではないツールを含むという事実のために、実行が困難である。従って、これらは製造段階で実行するには費用がかかりすぎる。
多孔質シリカ・ベースの膜に関する文献(例えば、Prakash他による非特許文献2)において、膜形成の際に疎水性末端基を導入する表面修飾が、シリル化剤(トリメチルクロロシラン−TMCS)を表面張力の低いキャリア溶媒によって多孔質の網目構造中に導入する湿式化学処理によって達成される。このような反応はシリル化と呼ばれ、形成工程中の膜に対して実行可能であるが、なぜなら、大量の空隙と、別の仕方で縮合及び架橋することになる豊富なシラノールとが存在するからである。今までのところ、同様の反応が、膜に損傷を与える工程の化学剤に暴露した後でさえも、形成工程中の膜中よりもシラノール基が少ない、完全に形成された膜の上で実施できるかどうかは明らかではない。Chang他(非特許文献3)によって公表された研究では、ヘキサメチルジシラザン(HMDS)をシリル化剤として用いて、損傷後に多孔質OSG膜の疎水性及び炭素含量を回復する試みが成されている。しかし、どのような媒体中のHMDSも、多孔質OSG膜の性能を完全に回復することはできないことが彼らの結果から明らかである。同様に、TMCSもまた、誘電体特性の回復に完全には有効ではない。HMDS及びTMCSは両方とも単官能基シリル化剤であり、低k材料の表面及び孔壁上で1分子当たり1つの孤立したシラノール基しか攻撃できない。しかしながら、有機シリケート・ベースの低k材料は2つの異なる、以下のように分類される(Gun’ko他による非特許文献4)型のシラノールを有する。第一の型のシラノールは非水素結合性シラノールであり、それ自体で、(1)近くに隣接するシラノールを何も有さず、完全に相互作用のない単独のシラノール(孤立シラノールとも呼ばれる)、(2)非常に弱く相互作用しているシラノール、及び(3)弱く相互作用しているものと相互作用のないもののジェミナル・シラノール(ジシラノールとも呼ばれる)から成る。第二の型のシラノールは水素結合しているシラノールである。殆どの単官能基シリル化剤は孤立シラノールを容易に攻撃して置換するが、一般に、他の2つの型の非水素結合性シラノールにはそれほど容易には攻撃しない。このことの主たる要因は、立体障害が、1つより多くのシラノールを単官能基シリル化剤により容易に同時に捕捉することを妨げることにある。さらに、腐蝕性の反応副生成物を放出せずに低k材料の表面及び孔壁を容易にシリル化する、最も反応性の高い機能を有するシリル化剤を用いることもまた重要である。
Hu他(非特許文献5)もまた、低k材料の特性を回復するシリル化剤としてのジメチルジクロロシラン(DMDCS)の効率を調べる研究を公表している。しかし、彼らの研究において、ジメチルジクロロシランは膜の最表面上に単層を形成し、多孔質低k材料のバルク部分には浸透しないことが報告されている。それゆえ、適切なシリル化媒体、及びシリル化条件を用いない限り、低k材料のバルクの誘電特性を回復することは困難である。さらに、ジメチルジクロロシラン及びTMCSのような、何れの塩素ベースのシリル化剤も副生成物は塩化水素であり、これは腐蝕性であって銅を含む相互接続構造体中では使用することができない。
米国特許出願公開公報第US2004/0087135A1号明細書 Padhi他、J.Electrochem.Soc.,150(1),G10−G14,(2003). Prakash他、Nature,374,439(1995). Chang他、J.Electrochem.Soc.,149,8,F81−F84(2002). Gun’ko他、J.Colloid and Interface Sci.228,157−170(2000). Hu他、J.of Electrochem.Soc.,150(4)F61−F66(2003).
従って、本発明の1つの態様は、多孔質有機シリケート樹脂のかご対網目の比率を、堆積及び硬化の後に変化させることによって機械的強度を高める、低コストの非破壊的方法を提供することである。
従って、本発明の1つの目的は、ある種類のシリル化剤と、それらを利用して、工程暴露の後、腐蝕性の副生成物を生じることなく材料の疎水性を完全に回復させる工程フローとを提供することである。本発明の更なる目的は、本発明のシリル化剤を、多孔質低k材料のバルク部分に浸透してその特性を回復させるように、導入することのできる方法を提供することである。
本発明の更なる目的は、機械強度を増して多孔質有機シリケートの好結果の集積化に直面する幾つかの主要な障害を克服するように、堆積及び孔形成の後に樹脂の化学を変更することである。
本発明において、かご対網目の比率を変化させる方法はまた、膜中に新しい網目構造を形成するシロキサン結合を導入し、それゆえ、誘電率を顕著に増加させることなく機械的特性を向上させるシリル化による。しかしながら、シリル化反応が進むためには、有機シリケート膜が豊富なシラノールを有することが必要である。これらのシラノールをシリル化に先だって生成すること、及びシリル化反応がこの膜を強化するのに十分な程度に起ることを確実にすることもまた、本発明の目的である。
本発明の利点は、超低k金属間誘電体のための材料選択が、これらの材料へのプラズマ及び湿式クリーニングによる損傷の影響の考慮に拘束される必要がないことであるが、その理由は、本発明において教示されるシリル化法を用いることによって、損傷を受けた後で材料の元の特性を回復できるからである。さらに、プラズマ暴露によって損傷を受けた膜の特性を回復するための信頼性のある方法を利用できることにより、デュアル・ダマシンの構築において必要とされる反応性イオン・エッチング(RIE)及びレジスト除去操作において、より多くの工程オプションが可能となり、その結果、より強固で、かつ低コストの加工処理法がもたらされる。最後に、本発明は、IMDとして用いられる多孔質有機シリケート膜の機械的強度を向上させる方法を提供する。
従って、本発明は、シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有する低k又は極低k誘電率の有機シリケート膜であって、半導体チップ、又はチップ担体、或いは半導体ウェハ内の極低誘電率の絶縁層として用いられるが、その特性を劣化する傾向がある処理を受けた、有機シリケート膜の特性を回復する方法に向けられる。この方法は、その膜を疎水性にするように、アミノシランを含むシリル化剤を膜に塗布するステップを含む。アミノシランは一般式(RN)SiR’を有することができるが、ここでX及びYは、それぞれ、1から2まで及び2から1までの整数であり、R及びR’は水素、アルキル、アリール、アリル、フェニル及びビニル部分から成る群から選択される。アミノシランはビス(ジメチルアミノ)ジメチルシランであることが好ましい。
アミノシランは一般式(RN)SiR’R”を有することができるが、ここでX、Y及びZは、それぞれ、1から3まで、3から1まで、及び1から3までの整数であり、R、R’及びR”は、水素、アルキル若しくはアリール、アリル、フェニル又はビニル部分の何れかである。
本発明はまた、膜を疎水性にするようにシリル化剤を膜に塗布するステップを含む、同じ一般的方法に向けられ、前記のシリル化剤は、RSi−Aの形を有し、ここでX及びYは、それぞれ、0から2まで及び3から1までの整数であり、Rは、水素、アルキル若しくはアリール、アリル、フェニル又はビニル部分の何れかであり、Aはシラザン、クロロ、アミノ又はアルコキシ部分である。このシリル化剤は、アミノ、クロロ又はアルコキシ末端の単官能基末端のシリル化剤を含むことがでるが、ここでシリル化剤のメチル部分は少なくとも部分的に水素類似物で置換されている。シリル化剤はまた、アミノ、アルコキシ、クロロ又はシラザン末端の末端基を有する重合シロキサンを含むことができる。重合シロキサンの末端基は、モノ又はジアルキル、アリール、ビニル又は水素部分を含むことができる。シロキサンはアミノ末端ポリジメチルシロキサンを含むことができる。
シリル化剤はまた、一般式RSiAを有することができ、ここでX、及びYは、それぞれ、0から5まで、及び6から1までの整数であり、Zは1から2までに等しく、Rは、水素、アルキル、アリール、アリル、フェニル又はビニル部分であり、Aはシラザン、クロロ、アミノ又はアルコキシ部分である。
本発明によれば、加工処理工程は、膜をエッチングするステップ、及び膜からフォトレジスト材料を除去するステップを含むことができ、シリル化剤はエッチング及び除去ステップの後に塗布される。エッチング及び除去ステップは膜をプラズマに暴露することによって実施することができる。シングル・ダマシン又はデュアル・ダマシン工程を用いることができ、シリル化剤を塗布するステップは、相互接続ライン及びビアのうちの少なくとも1つの画定後、かつ導電体の堆積の前に実施することができる。シリル化剤の適用は導電性ライナーの堆積の前に実施することができる。
シリル化剤は、液体のスピン・コーティング、基板の液体中への浸漬、液体による基板のスプレー・コーティング、気相中、又は超臨界二酸化炭素中への溶解、のうちの1つによって、好ましくは、アルカン、アルケン、ケトン、エーテル、及びエステルのうちの少なくとも1つを含む群から選択される共溶媒を用いて、塗布することができる。シリル化剤は湿気のない状態で塗布することが重要である。膜は、好ましくは少なくとも350℃の温度で、或いは450℃もの高い温度で、1分を越える時間アニールすることができる。アニールするステップは、シリル化剤を塗布する前又は後で実施することができる。シリル化剤は、少なくとも25℃の温度で塗布することが好ましい。アニールするステップは、膜中のシリル化されていないシラノールの縮合と、追加のシロキサン結合の形成とのうちの少なくとも1つを促進するために実施される。
シリル化剤は、アルカン、アルケン、ケトン、エーテル、エステル、又はこれらの任意の組み合わせを含む群から選択される低表面張力の無極性有機溶媒を含んだ溶媒に溶解することができる。溶媒は膜中の孔に浸透するのに十分に低い表面張力を有することが好ましい。シリル化剤は、溶媒中で2重量パーセントと10重量パーセントの間の濃度を有することが好ましいが、しかし、溶媒中で2分の1重量パーセントもの低い濃度又はそれ以上の濃度を有してもよい。
シリル化剤は1分間と1時間の間の時間に、室温またはそれ以上の温度で塗布することができる。シリル化剤を塗布するときは、撹拌又は超音波処理を利用することができる。過剰のシリル化剤を除去するために膜をリンスすることができる。膜は、好ましくは450℃までの温度でベークすることができる。
シリル化剤は気相中、室温と450℃の間の温度で、30秒から1時間までの時間をかけて、或いは実質的に250℃で5分間に塗布することができる。シリル化剤は、超臨界二酸化炭素中、25℃と450℃の間の温度で、1,000と10,000psiの間の圧力において、30秒から1時間までの時間をかけて塗布することができる。シリル化剤はまた、超臨界二酸化炭素又は気相媒体中、75℃を越える温度で30秒を超える時間をかけて塗布することができる。
シリル化剤は2つの官能基を有することが好ましい。これは、(ビス)ジメチルアミノジメチルシラン又は(ビス)ジメチルアミノメチルシランを含むことができる。
シリル化剤を塗布するステップは、シラノールを膜中に導入する、紫外線照射、オゾンへの暴露、穏和な酸化性プラズマへの暴露又はそれらの組み合わせによる膜の処理に続いて行われる。この方法は、化学気相堆積チャンバ又は原子層堆積チャンバの中で実施することができる。
本発明による方法によって回復される特性は、疎水性、弾性率、低誘電率、破壊強度及び硬度、絶縁破壊強度、低誘電体漏電及び誘電体信頼性のうちの少なくとも1つを含む。このような回復された膜が内部に集積されている相互接続構造体は、二酸化シリコン、フッ素化テトラエチルオルトシリケート、フッ素化シリカ・ガラス、フッ素化又は非フッ素化有機ポリマー、熱硬化性樹脂、及び化学気相堆積ポリマーから成る群から選択される1つ又は複数の金属間誘電体を付加的に含むことができる。熱硬化性ポリマーはポリフェニレンエーテル・ベースのものとすることができる。化学気相堆積ポリマーはポリパラキシリレンとすることができる。付加的な金属間誘電体は、ポリイミド、ベンゾシクロブテン、ポリベンゾキサゾール、芳香族化合物の群から選択される有機ポリマーとすることができる。
本発明はまた、内部に形成された複数の導電体を有する絶縁材料と、シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有する有機シリケート膜を含む金属間誘電体と、上記の方法において記載されたシリル化剤の1つと有機シリケート膜との間の反応生成物を含む有機シリケート膜の表面と、を備える製造物品に向けられる。この物品は半導体チップ、半導体チップ担体又は半導体ウェハとして形成することができる。表面は、膜の外表面または膜内の孔の表面であってよい。
本発明のこれら及び他の態様、特徴、及び利点は、以下の本発明の詳細な説明を添付の図面と共に理解してさらに考察することにより明確となるであろう。
本発明に関して説明される変形は、各々の特定の用途に対して望ましい任意の組み合わせにおいて理解することができる。それゆえ、本明細書中に記載される特定の制限及び/又は具体化された向上は、特定の用途に対する特定の利点を有し得るが、全ての用途に用いる必要はない。また、全ての制限が、本発明の1つ又は複数の概念を含む方法、システム及び/又は装置において満たされる必要はないことも理解されたい。
本発明の第1の実施形態(以下「実施形態1」)は、誘電特性の回復に極めて有効なシリル化剤である新規な種類のシリル化剤の使用法に関する。さらに、本発明の実施形態1はまた、多孔質低k材料の外表面及びバルク部分(内部の孔壁全てを含む)が疎水性になることを保証するように、これらのシリル化剤を処理工程に導入する方法に関する。最後に、本発明の第2の実施形態は、従来技術において用いられるシラザン等の部分に関する、シリル化剤としてより効果的なものとするための、特定の分子的変形を開示する。
本発明の実施形態1においては、本発明のシリル化剤は、相互接続構造を構築するためのシングル又はデュアル・ダマシン工程に、相互接続ライン及びビアの画定の後、かつ、導電性ライナー及び相互接続金属を含む充填材料の堆積の前に導入される。具体的には、シリル化剤は、低k材料の反応性イオン・エッチング(RID)に次いでレジストが除去された後に導入される。図1に示されるようなデュアル・ダマシン・スキームが用いられる場合には、本発明のシリル化剤は図5と図6の工程ステップの間に導入される。本発明において詳述されるシリル化剤は、稠密又は多孔質の有機シリケートをライン・レベル又はビア・レベルのいずれか、或いは両方において有する相互接続構造体中に用いることができる。さらに、それらは、多孔質有機シリケートが他の有機シリケート、或いは、SiO、FSG、フッ素化テトラエチルオルトシリケート(FTEOS)、又はフッ素化若しくは非フッ素化有機ポリマーなどの材料と組み合わせて使用される構造体において用いることができる。列挙された他の材料は構造体の部分とすることができるが、それらは一般に、加工処理中に本明細書中で説明された種類の損傷を受けにくく、それゆえシリル化処理に対して敏感ではない。
図8の略図は、本発明において用いられるシリル化剤が、どのようにして低k有機シリケート膜内のメチル部分を、通常の工程のプラズマ暴露の際に除去された後で、回復することに成功するかを示す。シリル化剤の反応部位を残す基(「脱離基」)は、シラノールと反応してそれを脱プロトン化し、新しいシロキサン結合を形成する基である。それゆえ、脱離基の反応性がシリル化反応の効率を決定する。
本発明の実施形態1においては、X及びYが、それぞれ、1から2まで及び2から1までの整数である一般式(RN)SiR’で表される種類のシリル化剤が、後で相互接続金属を保持することになるライン及びビアの画定後に導入される。上記の式において、R及びR’は、膜を疎水性にすることのできる、水素、アルキル、アリール、フェニル、アリルまたはビニル部分の何れかとすることができる。これらのシリル化剤は通常、アミノシランと呼ばれ、以後、本明細書中ではそのように呼ぶ。これらはXの値が1であるか又は2であるかに応じて、それぞれ単官能基剤又は2官能基剤と称される。アミノシランは、スピン・オン工程によって、液相中、気相中(炉内又はCVDチャンバ内で)、又は超臨界二酸化炭素媒体中で導入されるが、いずれの場合でも、シリル化剤を湿気が全く無い状態で取り扱うことが非常に重要であり、何故なら、少しでも湿気が存在したとすると、シリル化反応の効率を低下させる可能性があるからである。さらに、シリル化とその後のアニールの組合せ、又はアニールとその後のシリル化若しくは高温(好ましくは350℃を越える)でのシリル化との組合せは、膜中のシラノール含量の大幅な減少をもたらすので、シリル化だけよりも好ましい。アニール処理ステップはまた、膜中に残るあらゆる非シリル化シラノールを縮合し、膜を強化する付加的なシロキサン結合の形成を可能にする。
アミノシランを液体媒体中で用いる場合、孔に効率よく浸透できるように、表面張力の低い何れかの無極性有機溶媒に溶解させることが好ましい。このような溶媒の例には、ヘキサン、ヘプタン、キシレン等が含まれるが、これらに限定されない。溶媒は、引火点及び沸点によって判断されるような低揮発性であることが望ましいが、必須ではない。効果的なシリル化のために必要なアミノシランの濃度は、0.5重量%溶液ほどにも低くすることが可能であり、或いはアミノシランを希釈しない液体状態のままで用いることもできる。最も効率的なシリル化のための望ましい範囲は、典型的には2%から10%までの溶液である。溶液は多孔質低k膜上にスピン・コートするか、又は多孔質低k膜中に画定された相互接続フィーチャを有するウェハが1分間から1時間またはそれ以上の時間浸漬される湿式化学タンク中で用いることができる。シリル化のための温度は室温又はそれ以上とすることができる。浸漬中の撹拌又は超音波処理は反応促進のために必須ではないが、いくつかの用途においては反応速度を高めるのに役立ち得る。シリル化の後、ウェハは純溶媒中でリンスし、次いでホットプレート上又は炉内で450℃までの温度でベークすることができる。
液相シリル化はまた、上のパラグラフで規定された溶液を用いて、この溶液のスピン・コーティング又はスプレー・コーティングによって実施することができる。
気相シリル化をアミノシランを用いて実施するときは、キャリアガスは不活性で非酸化性であり、チャンバには湿気がないことが重要である。チャンバに湿気がある場合には、2官能基及び3官能基のアミノシランはオリゴマー化して、それぞれ、単層又は膜を形成し易くなる。単層及び膜の形成は、シリル化剤と膜の反応性が一般に低下し、さらに、処理が最表面に限定され、膜のバルク中の孔が疎水性にはならないので望ましくない。気相シリル化は室温から450℃までの範囲の温度で、30秒から1時間またはそれ以上の時間をかけて実施することができる。気相シリル化のために好ましい時間及び温度は250℃で5分間である。気相シリル化の後、随意のホット・プレート・ベーク又は炉での硬化を450℃までの温度で行うことができる。誘電体膜の気相処理は、自立式炉内、フロー・スルー・チャンバ内、或いは半導体工業において化学気相体積(CVD)又は原子層堆積(ALD)のために用いられる加工処理チャンバ内で実施することができる。後の2つの選択肢が特に魅力的であるが、その理由は、これらのチャンバは実質的に湿気を排除するための基盤真空を作り、そして気体種及基板の加熱装置を導入するように設計されているからであり、また相互接続金属の堆積ステップの直前に、イン・サイチュで誘電体をシリル化することができるからであるが、このシリル化は適切な蒸気前駆体を用いてCVD又はALDにより容易に実施することができる。
アミノシランを超臨界(SC)二酸化炭素(CO)媒体中において導入するときは、アミノシランはそれ自体で又は任意の適切な共溶媒と組み合わせて導入することができる。SC COに基づくシリル化のための温度、圧力及び時間の範囲は以下の通りとすることができる。温度は25℃から450℃までの範囲、圧力は1,000psiから10,000psiまでの範囲、時間は30秒から1時間またはそれ以上の範囲である。
(ビス)ジメチルアミノジメチルシラン(BDMADMS)又は(ビス)ジメチルアミノメチルシランのような2官能基アミノシランを、SC CO又は気体媒体中、75℃を越える温度で30秒を超える時間、次いで400℃でのアニールを1分を越える時間、用いることが好ましい。2官能基シリル化剤は一般に、それらの単官能基の対応物よりも有効であるが、なぜなら、図10に示されるように、2つの隣接する非水素結合性シラノール、特にジェミナル・シラノールを同時に捕捉する能力を有するからである(図10は2つの隣接する孤立シラノールを示す)。単官能基シリル化剤は一般に、図9に示されるように、3つのメチル基が、別の単官能基シリル化剤が隣接するシラノールと容易に反応することを立体的に妨げるために、2つの隣接するシラノールを捕捉することができない。3官能基シリル化剤は架橋して、低k膜の孔に浸透しない膜を形成する傾向をもつ。さらに、3官能基シリル化剤は3つのシラノールを同時には捕捉できないということのため、シリル化剤の未反応末端上で付加的なシラノールが形成される可能性がある。
図12は、シリル化が液相中、湿気のない環境で実施された、単官能基、2官能基及び3官能基の塩素末端シリル化剤の間の比較を示す。図12のFTIRスペクトルから、2官能基剤が、膜中のメチル含量の増加とシラノール含量の減少の最適の組合せを示すことが分かる。同様の効果はアミノ末端シリル化剤によって、反応の副生成物が腐蝕性ではないという追加の利点を伴って、達成することができる。
図13に示されるように、BDMADMSによる液相シリル化とそれに続く400℃でのアニールは、多孔質低k膜の疎水性及びメチル含量を回復させる。
表1A及び表1Bは、本発明の好ましい薬剤であるBDMADMSによって達成された接触角と、従来技術に用いられるシリル化剤HMDSによる接触角の比較を示す。表1Aから分かるように、BDMADMSは接触角を回復するのにより有効である。表1Bは、BDMADMSの効果が4週間周囲に暴露した後にも減少しないのに対して、HMDSでシリル化された低k材料の接触角は小さくなり、誘電特性が漸進的に劣化することを示す。表2は、BDMADMSが、多孔質低k膜のkが典型的な工程のプラズマへの暴露後に増大した後、それを回復させることを示す。同様に、BDMADMSで処理された膜に関しては、誘電損失および絶縁破壊強度がそれらの元の値に回復する。
Figure 0004594988
Figure 0004594988
Figure 0004594988
図14から、シリル化が有機シリケートの構造的形態を変化させて、その骨格をかご様よりも網目様にし、その結果機械的特性が向上することが分かる。これは、シリル化反応が、膜の機械的強度を向上させる新しい網目構造を形成するシロキサン結合を生成することによる。図14のFTIRスペクトルに見られるように、膜中の網目構造の程度を示す波数約1067(1/cm)の赤外ピークは、シリル化処理によって高さが顕著に増大する。表3を参照せよ。
Figure 0004594988
上記のように、シリル化反応に次いで、炉でアニールすることにより、全ての残りのシラノールが縮合され、機械的強度をさらに強くする新しいシロキサン結合が生成される。
実施形態2
実施形態1は、一般に2官能基シリル化剤、特にBDMADMSの有効性を示す。実施形態1はまた、HMDS及びTMCSのような単官能基シリル化剤は、シリル化剤上の3つのメチル部分によってもたらされる立体障害のために、それらの2官能基の対応物ほどには効果的でないことを示す。しかし、シリル化剤のメチル部分をより小さな水素部分で適切に置き換えることによって、この問題を克服することが可能である。例えば、HMDSの代わりにテトラメチルジシラザン(TMDS)を用いると、立体障害が低減し、シリル化反応がより効果的になる。同様に、メチル部分が少なくとも部分的に水素類似物で置き換えられたアミノ、クロロ及びアルコキシ末端の単官能基シリル化剤により、上首尾のシリル化を実証することができる。従って、X及びYを、それぞれ、0から2まで及び3から1までの整数とする一般式RSi−Aを有するシリル化剤は、有効なシリル化剤として用いることができる。上記の実施形態において説明されたように、シリル化反応に次いで、炉でアニールすることにより、全ての残りのシラノールが縮合され、機械的強度をさらに強くする新しいシロキサン結合が生成される。
実施形態3
多孔質低k膜の孔に浸透する必要のない用途のためには、アミノ、アルコキシ、クロロまたはシラザン末端の末端基を有し、その末端基上にモノ又はジアルキル、アリール、ビニルまたは水素部分を有する重合シロキサンを、低k膜の最表面上で単層を形成して、表面の疎水性を回復するために用いることができる。このようなシロキサンの一例はアミノ末端ポリジメチルシロキサンである。シリル化剤が、相互接続構造の形成のために有機シリケート中にトレンチ及びビアを形成するエッチング工程によって作成された間隙に流入するように、分子量は十分に小さいことを確実にすることが重要である。上記の実施形態において説明されたように、シリル化反応に次いで、炉でアニールすることにより、全ての残りのシラノールが縮合され、機械的強度をさらに強くする新しいシロキサン結合が生成される。
実施形態4
シリル化剤はまた、膜が堆積された直後に導入することができる。この場合の効率は、堆積後、膜中にどれほど多くのシラノールが存在するかに依存する。この実施形態においては、シリル化剤はまた、膜中にシラノールを導入するUV/オゾンのような処理、或いは穏和な酸化性プラズマへの暴露の後に導入することができる。前の実施形態におけるように、シリル化に続いて熱アニールが行われる。上記の3つの実施形態の何れかにおいて説明されたシリル化剤は、この方式で用いることができる。CVD堆積膜の場合、シリル化剤は共堆積されるか、或いはCVD誘電体の前駆体と共にチャンバ内に導入することができる。
前述のことは、本発明のより適切な対象及び実施形態の幾つかを概説したものであることに留意されたい。本発明の構想は多くの用途に用いることができる。それゆえ、特定の配置及び方法に関して説明されているが、本発明の意図及び構想は他の配置及び用途に対しても適切かつ適用可能である。開示された実施形態に対する他の改変が本発明の精神及び範囲から逸脱することなく実施可能であることは、当業者には明白である。説明された実施形態は、本発明のより顕著な特徴及び用途の幾つかの単なる例示であると解釈されたい。開示された本発明を異なる様式で適用すること、或いは当業者には既知の仕方で本発明を改変することによって他の有益な結果を実現することができる。それゆえ、これらの実施形態は実施例として与えられ、限定としてではないことを理解されたい。本発明の範囲は、添付の特許請求の範囲によって規定される。
標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 標準的なデュアル・ダマシン集積スキームの工程フローを示す図である。 極低k材料の化学に対するプラズマ暴露及びシリル化の効果を示す略図である。 単官能基シリル化剤がどのように孤立したシラノールを1つだけ捕捉し、近接するシラノールをブロックするかを示す略図である。 図9で用いられた薬剤の2官能基類似物がどのように2つの隣接するシラノールを同時に首尾よく捕捉するかを示す略図である。 単官能基、2官能基及び3官能基のシリル化剤の効果を示す一連のFTIRスペクトルを示す。 図11の部分拡大図である。 もとのIMD、プラズマ損傷を受けたIMD、BDMADMSで処理されたIMD、並びにBDMADMSで処理され及びアニールされたIMDのFTIRスペクトル及び接触角の比較を示す。 もとの多孔質有機シリケートIMD、プラズマ損傷を受けた多孔質有機シリケートIMD、BDMADMSで処理された多孔質有機シリケートIMD、並びにBDMADMSで処理され及びアニールされた多孔質有機シリケートIMDに関する、波数の関数としての赤外吸光度のグラフである。
符号の説明
1100:基板
1110:ビア・レベルの誘電体
1120:ライン・レベルの誘電体
1130:ハード・マスク層(積層体)
1150:ラインの位置
1170:ビアの位置
1180:ビア開口部
1190:デュアル・ダマシンのトレンチ及びビア構造
1200:導電性ライナー材料
1210:導電性充填材料
1220:キャッピング材料
1500、1510:フォトレジスト層

Claims (44)

  1. シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有する有機シリケート膜であって、半導体チップ、又はチップ担体、或いは半導体ウェハ内の絶縁層中に用いられる有機シリケート膜の特性を回復する方法であって、
    前記膜にアミノシランを含むシリル化剤を塗布するステップを含み、前記アミノシランは、一般式(RN)SiR’R”を有し、X、Y及びZは、それぞれ、Xが1から3まで、並びにY及びZが3から0まで変化する整数であるが、X+Y+Zは常に4に等しく、R、R’及びR”は、水素、アルキル、アリール、アリル、フェニル、又はビニル部分の何れかであり、前記シリル化剤を塗布するときに、撹拌又は超音波処理のうちの1つを実施するステップをさらに含む、前記方法。
  2. シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有する有機シリケート膜であって、半導体チップ、又はチップ担体、或いは半導体ウェハ内の絶縁層中に用いられる有機シリケート膜の特性を回復する方法であって、
    前記膜にアミノシランを含むシリル化剤を塗布するステップを含み、前記アミノシランは、一般式(RN)SiR’を有し、X及びYは、それぞれ、3から2まで及び1から2までの整数であり、R及びR’は、水素、アルキル、アリール、アリル、フェニル及びビニル部分から成る群から選択され前記シリル化剤を塗布するときに、撹拌又は超音波処理のうちの1つを実施するステップをさらに含む、前記方法。
  3. 前記処理は、前記膜のエッチング、及び前記膜からのフォトレジスト材料の除去を含み、前記シリル化剤は、前記エッチング及び前記除去の後に塗布される、請求項2に記載の方法。
  4. 前記シリル化剤は、(ビス)ジメチルアミノジメチルシラン又は(ビス)ジメチルアミノメチルシランを含む、請求項1に記載の方法。
  5. シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有し、半導体チップ、チップ担体、或いは半導体ウェハ内の絶縁層中にある、有機シリケート膜の特性を回復する方法であって、
    前記膜にシリル化剤を塗布するステップを含み、前記シリル化剤は、アミノ、アルコキシ、クロロ又はシラザン末端の末端基を有する重合シロキサンを含み、前記シロキサンは、アミノ末端ポリジメチルシロキサンを含む前記方法。
  6. シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有し、半導体チップ、チップ担体、或いは半導体ウェハ内の絶縁層中にある、有機シリケート膜の特性を回復する方法であって、
    前記膜にシリル化剤を塗布するステップを含み、前記シリル化剤は、一般式RSiAを有し、X、及びYは、それぞれ、0から5まで、及び6から1までの整数であり、Zは2に等しい整数であり、Rは、水素、アルキル、アリール、アリル、フェニル又はビニル部分であり、Aはシラザンであり、前記シリル化剤を塗布するときに、撹拌又は超音波処理のうちの1つを実施するステップをさらに含む、前記方法。
  7. 前記処理は、前記膜のエッチング、及び前記膜からのフォトレジスト材料の除去を含み、前記シリル化剤は、前記エッチング及び前記除去の後に塗布される、請求項に記載の方法。
  8. 前記エッチング及び除去は、前記膜をプラズマに暴露することによって実施される、請求項3又は請求項のいずれかに記載の方法。
  9. シングル・ダマシン又はデュアル・ダマシン工程が用いられ、前記シリル化剤を前記塗布するステップは、相互接続ライン及びビアのうちの少なくとも1つの画定の後、かつ導電体の堆積の前に実施される、請求項1又は請求項2のいずれかに記載の方法。
  10. 前記シリル化剤を前記塗布するステップは、導電性ライナーの堆積の前に実施される、請求項に記載の方法。
  11. 前記シリル化剤は、液体のスピン・コーティング、基板の液体中への浸漬、液体による基板のスプレー・コーティング、気相法、又は超臨界二酸化炭素溶解法のうちの1つによって塗布される、請求項1又は請求項2のいずれかに記載の方法。
  12. 前記シリル化剤は、超臨界二酸化炭素中に、アルカン、アルケン、ケトン、エーテル、及びエステルのうちの少なくとも1つを含む群から選択される共溶媒と共に溶解される、請求項1又は請求項2のいずれかに記載の方法。
  13. 前記シリル化剤は湿気がない状態で塗布される、請求項1又は請求項2のいずれかに記載の方法。
  14. 前記膜をアニールするステップをさらに含む、請求項1又は請求項2のいずれかに記載の方法。
  15. 前記アニールは少なくとも350℃の温度で実施される、請求項14に記載の方法。
  16. 前記アニールは、前記シリル化剤を塗布した後に実施される、請求項14に記載の方法。
  17. 前記アニールは、前記シリル化剤を塗布する前に実施される、請求項14に記載の方法。
  18. 前記シリル化剤を前記塗布するステップは、少なくとも25℃の温度で実施される、請求項17に記載の方法。
  19. 前記アニールは、前記膜中のシラノールの縮合、及び追加のシロキサン結合の形成のうちの少なくとも1つを促進するように実施される、請求項14に記載の方法。
  20. 前記シリル化剤は溶媒中に溶解される、請求項1又は請求項2のいずれかに記載の方法。
  21. 前記溶媒は、アルカン、アルケン、ケトン、エーテル、エステル、又はこれらの任意の組合せを含む群から選択される低表面張力の無極性有機溶媒である、請求項20に記載の方法。
  22. 前記溶媒は、前記膜内の孔に浸透するのに十分に低い表面張力を有する、請求項20に記載の方法。
  23. 前記シリル化剤は、前記溶媒中で、2重量パーセントと10重量パーセントの間の濃度を有する、請求項20に記載の方法。
  24. 前記シリル化剤は、前記溶媒中で、2分の1重量パーセント又はそれ以上の濃度を有する、請求項20に記載の方法。
  25. 前記シリル化剤は、30秒と1時間の間の時間をかけて塗布される、請求項1又は請求項2のいずれかに記載の方法。
  26. 前記シリル化剤は室温又はそれ以上の温度において塗布される、請求項1又は請求項2のいずれかに記載の方法。
  27. 過剰のシリル化剤を除去するために前記膜をリンスするステップをさらに含む、請求項1又は請求項2のいずれかに記載の方法。
  28. 前記膜をベークするステップをさらに含む、請求項1又は請求項2のいずれかに記載の方法。
  29. 前記ベークするステップは、450℃までの温度で実施される、請求項28に記載の方法。
  30. 前記シリル化剤は、気相中で、室温と450℃の間の温度において30秒から1時間までの時間をかけて塗布される、請求項1又は請求項2のいずれかに記載の方法。
  31. 前記シリル化剤は、気相中で、実質的に250℃の温度において、5分かけて塗布される、請求項1又は請求項2のいずれかに記載の方法。
  32. 前記シリル化剤は、超臨界二酸化炭素中で、25℃と450℃の間の温度、1,000psiと10,000psiの間の圧力において、30秒から1時間までの時間をかけて塗布される、請求項1又は請求項2のいずれかに記載の方法。
  33. 前記シリル化剤は2官能基剤である、請求項1又は請求項2のいずれかに記載の方法。
  34. 前記シリル化剤は、超臨界二酸化炭素又は気相媒体中で、75℃を越える温度において30秒を超える時間をかけて塗布される、請求項1又は請求項2のいずれかに記載の方法。
  35. 前記層を実質的に400℃において1分を越える時間アニールするステップをさらに含む、請求項34に記載の方法。
  36. 前記シリル化剤を前記塗布するステップは、前記膜中にシラノールを導入する、紫外線照射、オゾン暴露、穏和酸化性プラズマへの暴露又はそれらの組み合わせによる前記膜の処理に続いて実施される、請求項1又は請求項2のいずれかに記載の方法。
  37. 化学気相堆積チャンバ又は原子層堆積チャンバ内で実施される、請求項1又は請求項2のいずれかに記載の方法。
  38. 前記回復される特性は、疎水性、弾性率、低誘電率、破壊強度及び硬度のうちの少なくとも1つを含む、請求項1又は請求項2のいずれかに記載の方法。
  39. 前記膜は1つ又は複数の追加の金属間誘電体を含む、請求項1又は請求項2のいずれかに記載の方法。
  40. 前記追加の金属間誘電体は、二酸化シリコン、フッ素化テトラエチルオルトシリケート、フッ素化シリカ・ガラス、フッ素化又は非フッ素化有機ポリマー、熱硬化性ポリマー、及び化学気相堆積ポリマーから成る群から選択される、請求項39に記載の方法。
  41. 前記追加の金属間誘電体は、ポリイミド、ベンゾシクロブテン、ポリベンゾキサゾール、及び芳香族熱硬化性ポリマーの群から選択される有機ポリマーである、請求項39に記載の方法。
  42. 前記熱硬化性ポリマーはポリアリーレンエーテルをベースとする、請求項40に記載の方法。
  43. 前記化学気相堆積ポリマーはポリパラキシリレンである、請求項40に記載の方法。
  44. 内部に形成された複数の導電体を有する絶縁材料と、
    シリコン原子に結合した水素原子又はアルキル若しくはアリール基を有する有機シリケート膜を含む金属間誘電体と、
    シリル化剤と前記膜の有機シリケートとの反応生成物を含む有機シリケート膜の表面であって、前記シリル化剤は、アミノ、アルコキシ、クロロ又はシラザン末端の末端基を有する重合シロキサンを含み、前記シロキサンはアミノ末端ポリジメチルシロキサンである、表面とを備える製造物品。
JP2007538874A 2004-10-27 2004-10-27 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復 Expired - Fee Related JP4594988B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2004/035685 WO2006049595A1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Publications (2)

Publication Number Publication Date
JP2008518460A JP2008518460A (ja) 2008-05-29
JP4594988B2 true JP4594988B2 (ja) 2010-12-08

Family

ID=34959194

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007538874A Expired - Fee Related JP4594988B2 (ja) 2004-10-27 2004-10-27 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復

Country Status (5)

Country Link
EP (1) EP1812961A1 (ja)
JP (1) JP4594988B2 (ja)
KR (3) KR100974042B1 (ja)
CN (1) CN101048857B (ja)
WO (1) WO2006049595A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7446058B2 (en) 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
JPWO2008029800A1 (ja) * 2006-09-07 2010-01-21 東京エレクトロン株式会社 基板処理方法および記憶媒体
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP4814054B2 (ja) * 2006-11-02 2011-11-09 三井化学株式会社 積層構造体、その製造方法、及び積層体構造を用いてなる半導体装置
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4977508B2 (ja) * 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
JP5132244B2 (ja) * 2007-10-18 2013-01-30 大陽日酸株式会社 絶縁膜のダメージ回復方法および回復剤
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
GB0921707D0 (en) 2009-12-11 2010-01-27 Cambridge Display Tech Ltd Electronic devices
JP5404361B2 (ja) 2009-12-11 2014-01-29 株式会社東芝 半導体基板の表面処理装置及び方法
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
JP5662081B2 (ja) * 2010-08-20 2015-01-28 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
DE102010040071B4 (de) * 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
JP2012222329A (ja) * 2011-04-14 2012-11-12 Tokyo Electron Ltd 液処理方法及び液処理装置
US8575041B2 (en) 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
CN103426733A (zh) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 超低k介质层处理方法
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
US9029171B2 (en) * 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
JP6258151B2 (ja) * 2013-09-25 2018-01-10 信越化学工業株式会社 フォトマスクブランクおよびその製造方法
JP6234898B2 (ja) * 2013-09-25 2017-11-22 信越化学工業株式会社 フォトマスクブランクの製造方法
KR20160116618A (ko) 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US10692773B2 (en) * 2018-06-29 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing low-K gate spacer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
EP0997497B1 (en) * 1997-07-15 2004-10-27 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
AU7367400A (en) * 1999-09-09 2001-04-10 Allied-Signal Inc. Improved apparatus and methods for integrated circuit planarization
JP5307963B2 (ja) * 2000-06-23 2013-10-02 ハネウェル・インターナショナル・インコーポレーテッド 誘電フィルム及び材料における疎水性を回復する方法
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
EP1481284A4 (en) * 2002-03-04 2006-10-25 Tokyo Electron Ltd METHOD FOR PASSIVATING LOW DIELECTRIC MATERIALS IN WELDING PROCESSING
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Also Published As

Publication number Publication date
KR100974042B1 (ko) 2010-08-05
KR101063591B1 (ko) 2011-09-07
CN101048857B (zh) 2010-10-13
KR20090111883A (ko) 2009-10-27
CN101048857A (zh) 2007-10-03
KR100985613B1 (ko) 2010-10-05
KR20100088166A (ko) 2010-08-06
EP1812961A1 (en) 2007-08-01
WO2006049595A1 (en) 2006-05-11
JP2008518460A (ja) 2008-05-29
KR20090113389A (ko) 2009-10-30

Similar Documents

Publication Publication Date Title
JP4594988B2 (ja) 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復
US7179758B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8470706B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
US7629224B1 (en) VLSI fabrication processes for introducing pores into dielectric materials
US7678712B2 (en) Vapor phase treatment of dielectric materials
JP5449189B2 (ja) low−k誘電体の気相修復及び細孔シーリング
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8062983B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US20080166870A1 (en) Fabrication of Interconnect Structures
US8889544B2 (en) Dielectric protection layer as a chemical-mechanical polishing stop layer
KR101040687B1 (ko) 손상된 유전체 물질 및 막의 보상 및 회복
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품
US20040266184A1 (en) Post-deposition modification of interlayer dielectrics
US20130056874A1 (en) Protection of intermetal dielectric layers in multilevel wiring structures
JP2004260076A (ja) 被膜形成用塗布液、絶縁膜及びその製造方法ならびに半導体装置
McClelland et al. Lee et a
JP2007317818A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100914

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100917

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130924

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees