JP5449189B2 - low−k誘電体の気相修復及び細孔シーリング - Google Patents

low−k誘電体の気相修復及び細孔シーリング Download PDF

Info

Publication number
JP5449189B2
JP5449189B2 JP2010539431A JP2010539431A JP5449189B2 JP 5449189 B2 JP5449189 B2 JP 5449189B2 JP 2010539431 A JP2010539431 A JP 2010539431A JP 2010539431 A JP2010539431 A JP 2010539431A JP 5449189 B2 JP5449189 B2 JP 5449189B2
Authority
JP
Japan
Prior art keywords
alkoxysilane
dielectric
seconds
low
sealant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010539431A
Other languages
English (en)
Other versions
JP2011508420A5 (ja
JP2011508420A (ja
Inventor
デヨン・ジェイムズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011508420A publication Critical patent/JP2011508420A/ja
Publication of JP2011508420A5 publication Critical patent/JP2011508420A5/ja
Application granted granted Critical
Publication of JP5449189B2 publication Critical patent/JP5449189B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Description

集積回路デバイスの小型化が進むにつれて、複数のトランジスタ間の信号伝搬の遅延(伝搬遅延)は、デバイス性能を決めるパラメータとしての重要性を増している。伝搬遅延は、金属相互接続線の抵抗(R)と層間誘電体絶縁物質のキャパシタンス(C)の積に比例する(RC遅延とも称する)。したがって、伝搬遅延を最小にするためには、高伝導性金属(又は低抵抗金属)と併用して、絶縁物質を低誘電率物質と組み合わせることが有効である。有機ケイ酸塩ガラス(OSG)、有機ケイ酸塩ガラス(OSG)、オルトケイ酸テトラエチル(TEOS)、フッ素化シリカガラス(FSG)、炭素ドープ酸化物等のlow−k誘電体(LKD体)(k<3.0)は、酸化ケイ素(k=3.8から4.0)の代替として注目を集めつつある。誘電率を下げるために、LKD体は、空気(k=1)を閉じ込めて、バルクLKDの総k値を下げる連通ナノポーラス構造を備える。一方、銅(Cu)は抵抗率が低いため(R<2μΩcm)、相互接続線金属として通常用いられているアルミニウム(Al)(R=3.0から5.0μΩcm)の代替となりうる銅(Cu)の相互接続線用金属としての可能性にも注目が集まっている。
ただし、銅は揮発性副生成物を容易に形成しないため、従来のサブトラクティブ(減法型)エッチング手法は適していない。したがって、銅の相互接続線をパターン形成するためには、ダマシン製造工程が必要となる。ダマシン製造工程は、パターン形成した開口部(トレンチ(溝)やビアホール等)に導電材料を析出させる処理が行われる。すなわち、ダマシン処理では、LKD体にパターン形成した開口部のエッチングが必要となる。誘電体層上にフォトレジスト等のマスク層を形成して、トレンチ(溝)やビアホールの形状に開口部をパターン形成した後、ウェットエッチング又はドライエッチングを行う。ただし、LKD体のエッチング処理、アッシング処理、又は洗浄処理によって、LKD体に損傷を与える可能性がある。
本発明の一つの態様において、半導体基板上に形成されたナノポーラスlow−k(低誘電率)誘電体を処理する方法を提供する。low−k誘電体はエッチング処理により形成された開口部を備え、エッチング処理により形成された開口部の外表面上および連通孔の内表面上に、シラノール基を含むエッチングによる損傷領域を有する。まず最初に、low−k誘電体を、エッチングによる損傷領域において気相触媒とシラノール基との間に水素結合を形成するのに有効な量の気相触媒に接触させて、触媒中間体を形成する。次に、low−k誘電体を、エッチングによる損傷領域において約50%以上のシラノール基と反応するのに有効な量のアルコキシシラン修復剤と接触させることにより、アルコキシシラン修復剤を触媒中間体と反応させる。及び/又は、low−k誘電体を、連通孔内への被覆バリア層の拡散を防ぐのに有効な量のアルコシキシランシール剤と接触させることにより、アルコシキシランシール剤を触媒中間体と反応させる。
本発明の別な態様において、半導体基板上に形成されたナノポーラスlow−k(低誘電率)誘電体を処理する方法を提供する。low−k誘電体はエッチング処理により形成された開口部を備え、エッチング処理により形成された開口部の外表面上および連通孔の内表面上に、シラノール基を含むエッチングによる損傷領域を有する。まず最初に、low−k誘電体を、エッチングによる損傷領域において気相有機酸触媒とシラノール基との間に水素結合を形成するのに有効な量の気相有機酸触媒に接触させて、触媒中間体を形成する。次に、low−k誘電体を、エッチングによる損傷領域において約50%以上のシラノール基と反応するのに有効な量のアルコキシシラン修復剤と接触させることにより、アルコキシシラン修復剤を触媒中間体と反応させる。及び/又は、low−k誘電体を、連通孔内への被覆バリア層の拡散を防ぐのに有効な量のアルコシキシランシール剤と接触させることにより、アルコシキシランシール剤を触媒中間体と反応させる。
ダマシン製造工程の一例を示す図。 ダマシン製造工程の一例を示す図。 ダマシン製造工程の一例を示す図。 ダマシン製造工程の一例を示す図。
シランの加水分解による析出を示す図。
ルイス塩基性アミンの存在下で表面結合Si−OH基とn−プロピルトリメトキシシラン(n−PTMS)とを反応させて、シラン化合物を形成する様子を示す図。
アルコキシ基がSi−OH基に変換される様子を示す図。
隣接するシラン化合物間に水平ネットワークが形成される様子を示す図。
有機酸の存在下で、隣接するシラン化合物間に水平ネットワークが形成される様子を示す図。 有機酸の存在下で、隣接するシラン化合物間に水平ネットワークが形成される様子を示す図。 有機酸の存在下で、隣接するシラン化合物間に水平ネットワークが形成される様子を示す図。 有機酸の存在下で、隣接するシラン化合物間に水平ネットワークが形成される様子を示す図。
ナノポーラスLKD(low−k誘電)体を用いて半導体基板を修復する及び/又はシーリングするための処理チャンバと化学気体供給システムを示す図。
液状剤の気化および供給用の化学気体供給システムを示す図。
未修復の検体に関して、エッチング処理により形成されたトレンチ(溝)の側壁に沿った修復度を示す走査型電子顕微鏡(SEM)画像を示す図。 超臨界二酸化炭素(CO2)修復を行った検体に関して、エッチング処理により形成されたトレンチ(溝)の側壁に沿った修復度を示す走査型電子顕微鏡(SEM)画像を示す図。 気相修復を行った検体に関して、エッチング処理により形成されたトレンチ(溝)の側壁に沿った修復度を示す走査型電子顕微鏡(SEM)画像を示す図。
気相修復を行った検体、超臨界二酸化炭素(CO2)修復を行った検体、未修復の検体、及び析出させたままの検体に関して、二次イオン質量分析(SIMS)により得られた、深さの関数としての炭素対ケイ素比を示す図。
図1は、ダマシン製造工程の一例を示す図である。図1Aに、被覆ナノポーラスLKD(low−k誘電)体層110を備える半導体基板100を示す。マスク層120を用いて、ナノポーラスLKD体110に開口部130を形成する。ここでは、たった一つの開口部130しか図示していないが、多くのビアホールやトレンチ(溝)を形成可能である。たとえば、マスク層120を有機物フォトレジストとして、プラズマエッチングにより開口部130を形成するようにしてもよい。プラズマエッチングの完了後、マスク層120をアッシング(すなわち、酸素に基づくプラズマによるドライエッチング)により取り除く。
図1Bに、開口部130の側壁及び底部表面上にバリア層140を形成した状態を示す。銅配線に隣接するナノポーラスLKD体110が、隣接する誘電体内への銅電子の拡散により汚染されるのを防ぐように、バリア層140が形成される。バリア材の例としては、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、ルテニウム(Ru)、酸化ルテニウム(RuOx)、及びこれらの合金が挙げられる。たとえば、物理気相成長法(PVD)又は原子層堆積法(ALD)等により、バリア材を被覆するようにしてもよい。
図1Cに、銅バルク層150を開口部130に充填した状態を示す。たとえば、シード層を堆積させた後、無電解銅メッキを行うことにより、銅バルク層150を形成するようにしてもよい。図1Dに示すように、化学機械平坦化(CMP)等の適当な手法で、銅バルク層150の過剰な部分を取り除いて、構造を平坦にする。
ダマシン製造工程は、シングルダマシン工程でもダブルダマシン工程でもよい。後者の工程の場合、ビアファースト法又はトレンチファースト法のいずれかを用いることができる。
ダマシン構造にナノポーラスLKD体を実装する前に、いくつかの障害を克服する必要がある。第一に、エッチング処理により開口部130(たとえば、ビアホールやトレンチ(溝))を形成し、アッシングによりマスク層120を除去する工程で、ナノポーラスLKD体に損傷を与える可能性がある。第二に、バリア材140(たとえば、Ti、TiN、Ta、TaN、Ru、又はRuOx)がナノポーラスLKD体110の連通孔内に侵入し、低誘電率に悪影響を与える可能性がある。第三に、多くのナノポーラスLKD体に連通孔が存在することにより、ナノポーラスLKD体から形成される構造が、(CMP等)次の処理ステップで必要とされるレベルの機械強度を持たない可能性がある。したがって、損傷を受けたナノポーラスLKD体を修復し、開口部130の表面にシーリングを施して、LKD体の機械強度を増大させる必要がある。
プラズマエッチングおよびアッシングの際に、炭素含有部分(メチル基、すなわち−CH3基)の少なくとも一部を除去することにより、疎水性を低下させたナノポーラスLKD体が得られる。炭素含有部分がナノポーラスLKD体から除去されると、Si−C結合がSi−OH(シラノール)結合又はSi−OH(シラノール)基に置換され、大気由来の水分子又は次の湿式洗浄工程由来の水分子がシラノール基と強い水素結合を形成するため、誘電体層の疎水性が失われる。k値が約70の水が存在することにより、ナノポーラスLKD体のk値が大幅に増加する。たとえば、ナノポーラスLKD体に開口部(たとえば、ビアホールやトレンチ(溝))をプラズマエッチングする際に、開口部の側壁内に約30nmの深さまでプラズマ損傷が広がる可能性がある。プラズマ損傷を受けたナノポーラスLKD体内の約5nmの深さで、メチル基(−CH3基)の約25%が失われる。(すなわち、Si−C結合の25%がSi−OH結合又はSi−OH基に置換される)。
エッチングにより損傷したナノポーラスLKD体を、Si−OH基と反応するアルコキシシラン剤で処理するようにしてもよい。ただし、アルコキシシラン剤を用いる場合には、縮合工程で表面結合Si−OH基と縮合する前にアルコキシ基を加水分解するために水が必要となる、という問題が生じる。すなわち、アルコキシシラン剤のような化合物を用いる場合には、安定した修復が難しい。これは、アルコキシシランとSi−OH基との間の化学反応で水が果たす重要な役割に起因するものである。図2に示すように、加水分解工程において、Si−OH基と縮合する前にアルコキシ基を加水分解するために水が必要となる。
表面上で水素結合する水の量が大気中の水分により変動することにより、反応効率が直接的な影響を受ける。触媒を用いることなく、アルコキシシランとSi−OH基との間の化学反応を進めるためには、水の存在が不可欠である。また、修復結果を安定させるためには、検体ごとの水和レベルをほぼ一定にする必要がある。
ルイス塩基性アミン(たとえば、アンモニア、メチルアミン、ジメチルアミン、又はトリメチルアミン)を触媒として用いることにより、加水分解工程を経ることなく、アルコキシシランとSi−OH基との縮合速度を増大させることができる。図3に示すように、ルイス塩基性アミンが、触媒として作用し、Si−OH基と共に触媒中間体を形成する。ルイス塩基性アミンとSi−OH基との間に水素結合が生じることにより、触媒中間体が形成される。このように水素結合が形成されることにより、反応が先に進み、Si−OH基がアルコキシシラン剤のアルコキシ基と直接反応する。触媒中間体は、それ自体が、アルコキシシラン剤との反応性が非常に高い。この反応により、副生成物として対応するアルコールが生成され、アミンが解放されて、別のSi−OH基と水素結合を形成する。さらに、アルコキシシラン化合物は、水平にネットワーク化されて、3次元水平ネットワーク構造を形成し、ナノポーラスLKD体の機械強度を改善する。
有機酸を触媒として用いて、アルコキシシランを加水分解してSi−OH基を形成し、加熱下で表面結合Si−OH基と縮合させるようにしてもよい。さらに、図4に示すように、水と、修復された及び/又は水平ネットワーク化されたナノポーラスLKD体上に残った残留アルコキシ基の大部分との反応の触媒として、有機酸を用いることもできる。図4Aに示すように、有機酸が、水と残留アルコキシ基との間の加水分解反応の触媒として働くことにより、図4Bに示すようにSi−OH基が生成される。図4Cに示すように、隣接するシラン化合物のSi−OH基が縮合されて、水平ネットワークが形成される。ここで用いられる有機酸は、たとえば、pKaが約7以下、より望ましくは約4以下、もっと望ましくは約3以下の有機酸である。有機酸の例としては、酢酸、トリフルオロ酢酸、トリクロロ酢酸、クエン酸、完全にハロゲン化された又は部分的にハロゲン化された他の弱酸、及び、これらの組み合わせが挙げられる。
参照することによりその全体が本明細書に組み込まれる、同一出願人による米国特許出願第11/475,206号に開示されるように、このようなルイス塩基性アミン触媒及び有機酸触媒は、超臨界CO2(SCCO2)媒体における最初の加水分解工程を経ることなく、アルコキシシランと表面結合Si−OH基との間の縮合速度を速度論的に増大させることが報告されている。
気相単官能基型、二官能基型、又は、三官能基型アルコキシシラン剤と気相ルイス塩基性アミン触媒又は有機酸触媒とを組み合わせて用いることにより、エッチングにより損傷したナノポーラスLKD体を修復及び/又はシーリングする新しい方法をここで説明する。修復剤およびシール剤は、一般的に、Si(R)x(OR’)Yで表わすことができる。ここで、y≧1、x+y=4であり、Rはアルキル基、アリール基、又はヒドロキシル基であり、R’はアルキル基又はアリール基であり、望ましくはメチル基又はエチル基である。
アルコキシシラン修復剤は、特徴的には、連通孔ネットワークを介してナノポーラスLKD体の大部分に拡散してシラノール基と反応可能な、約80から約125の範囲の原子質量単位(AMU)を有する小型分子である。修復が完了すると、失われたメチル基の50%以上が、アルキル基(エチル基、プロピル基、ブチル基等)により補充される。望ましくは、約75%から約90%のメチル基が補充される。
修復剤の例としては、メチルトリメトキシシラン(CH3−Si−(O−CH33)、ジメトキシジメチルシラン((CH32−Si−(OCH32)、メトキシトリメチルシラン((CH33−Si−OCH3)、又はn−プロピルトリメトキシシラン(CH3−CH2−CH2−Si−(OCH33:n−PTMS)が挙げられる。
アルコキシシランシール剤は、特徴的には、約200から約400の範囲のAMUを有する大型分子である。ナノポーラスLKD体の細孔シーリングは、サイズ排除の原理に従い、アルコキシシランシール剤の大型分子は、ナノポーラスLKD体の細孔開口部を通って多孔性ネットワーク内に進入するよりむしろ、表面結合Si−OH基と反応性衝突をする。アルコキシシランシール剤は、約3から4nm未満の深さで、望ましくは、約1から2nmの深さで、表面シラノール基と反応する。シーリング工程が完了すると、開口部の側壁及び底部表面が最大で約2nmの厚さの膜で被覆される。この膜は、ナノポーラスLKD体の連通孔内への被覆バリア材の拡散を防ぐことができる。ただし、気相触媒は、分子の大きさが小さいため、ナノポーラスLKD体内への拡散が続く。
シール剤の例としては、ビス(ジメトキシメチル)シロキサン、ビス(メチルジメトキシシリルプロピル)−N−メチルアミン、ビス(トリエトシキシリル)エタン、1,2−ビス(トリメトキシシリル)ヘキサン、ビス(トリメトキシシリルプロピル)アミン、又はビス(3−(トリエトキシシリル)プロピル)ジスルフィドが挙げられる。
図5Aに示すように、ナノポーラスLKD体110を備える半導体基板100を処理チャンバ200内に設置して、エッチング処理及び/又はフォトレジスト・アッシングに起因する損傷の処理を行う。処理チャンバ200は、約1ミリトールから約3750ミリトール(すなわち、約5バール)の範囲内の圧力で、約300℃以下の温度で動作可能である。
ナノポーラスLKD体110は、先のエッチング処理により形成された開口部130(たとえば、トレンチ(溝)やビアホール)を備える。先のエッチング処理及び/又は被覆マスク層の除去により、誘電体層110の露出表面がエッチングによる損傷を受けている。図5Aには図示していないが、ナノポーラスLKD体110を、バリア層や導体又は半導体層等の中間層上に形成されるものとしてもよい。
ナノポーラスLKD体の例としては、これらに限定されるものではないが、NANOGLAS(登録商標)及びHOSP(登録商標)(Honeywell International製)、BLACK DIAMOND(登録商標)(APPLIED MATERIALS製)等の炭素ドープ酸化物、CORAL(NOVELLUS製)、AURORA(登録商標)(ASM製)、ORION(登録商標)(Trikon製)、LKD−5109(JSR製)、並びにナノクラスターシリカNCS(FUJITSU製)が挙げられる。多くのナノポーラスLKD体に共通する特徴として、平均細孔径が約2ナノメートルの狭い細孔径分布を有する連通孔構造が存在する。
半導体基板100を基板支持部210上に載置する。基板支持部210は、静電チャック(ESC)と、メカニカルクランプ等のクランプ機構と、半導体基板100を最大300℃の温度まで加熱するための一つ以上の加熱素子と、を備える。
化学気体供給システム220A、220B及び220Cを用いて、触媒、アルコキシシラン修復剤、及びアルコキシシランシール剤を、それぞれ、処理チャンバ200に供給することができる。真空ポンプ240を用いて、触媒、アルコキシシラン修復剤又はアルコキシシランシール剤を用いた処理が完了した後、処理チャンバ200を排気する。背圧調整器250を用いて、処理チャンバ200内を静ガス圧に保持する。背圧調整器250は、ガス圧が所定圧力レベルを超えると、気体を化学除去システムに放出する機能を備える。
図5Bに、液状剤(たとえば、触媒、アルコキシシラン修復剤又はアルコキシシランシール剤)用の化学気体供給システム220の実施例を示す。液状剤222は、液状剤源224からバブラー226に送られる。バブラー226を加熱昇温することにより、液状剤222を気化させる。ガス源228から供給される不活性ガス(窒素やアルゴン等)を液状剤222に通してバブリングさせることにより、気化した液状剤をガス管230を介して処理チャンバ200に供給する。ガス管230を加熱することにより、ガス管230内で気化した液状剤が凝縮するのを防ぐことができる。
修復工程及び/又はシーリング工程の前に、半導体基板100を気相触媒に接触させる。上述したように、ルイス塩基性アミン触媒又は有機酸触媒は、アルコキシシランとSi−OH基との間の縮合速度を速度論的に増大させる機能を果たす。ここで、ルイス塩基性アミン触媒の場合には、最初の加水分解工程を経ることなく、また、有機酸触媒の場合には、加水分解を促進することにより、縮合速度を増大させる。処理チャンバ200及び基板支持部210を、約60℃から約275℃の範囲、望ましくは約100℃から約200℃の範囲、の第1の温度まで加熱する。気相化学物質の凝縮を防ぐために、処理工程全体にわたって、気相化学物質の温度より高い温度に半導体基板100を保持することが望ましい。
最初に、処理チャンバ200の圧力を、真空に近い圧力レベル(たとえば、約0.5トールから約10トールの範囲の圧力)に保持して、触媒の気化を促進させる。化学気体供給システム220Aから処理チャンバ200に気相触媒を供給して、半導体基板100を処理する。半導体基板100を、エッチングによる損傷領域においてシラノール基と相互作用するのに有効な量の気相触媒と接触させる。気相触媒が、開口部130の外表面上(すなわち、側壁表面上)及びナノポーラスLKD体110における連通孔の内表面上に、サブモノレイヤー(サブ単層)又はモノレイヤー(単層)の触媒層を形成するようにしてもよい。プラズマによる損傷領域は、開口部130の側壁表面から約30nmの厚さにまで広がる場合もある。
一実施例において、触媒を処理チャンバ200に供給して、処理チャンバ200内の気相触媒の静圧を、約20トールから約1500トール(すなわち、約2バール)の範囲、望ましくは約100トールから約760トールの範囲まで上昇させる。処理チャンバ200の容量は、約1.1リットルである。気相触媒の接触時間は、約10秒から約60秒の範囲であり、望ましくは、約20秒から約30秒の範囲である。気相触媒を用いた処理が完了した後、圧力が約5トール未満になるまで、不活性ガスを動的に流すことにより、又は、真空ポンプ240を用いて、残留気相触媒を処理チャンバ200から排出させる。別の実施例において、真空ポンプ240及び/又は背圧調整器250を同時に作動させつつ、処理チャンバ内に気相触媒を流すことにより、動的真空状態を保持するようにしてもよい。
ルイス塩基性アミンの例としては、アンモニア(NH3)、メチルアミン(CH3NH2)、ジメチルアミン((CH32NH)、若しくはトリメチルアミン(N(CH33)、又は対応するエチル置換第一級、第二級、若しくは第三級アミンが挙げられる。有機酸の例としては、酢酸、トリフルオロ酢酸、トリクロロ酢酸、クエン酸、又は完全にハロゲン化された若しくは部分的にハロゲン化された他の弱酸が挙げられる。一実施例において、有機酸を水と混合して水溶液を作り、これを気化させるようにしてもよい。用いられるルイス塩基性アミン又は有機酸の種類に応じて、処理チャンバ200及び基板支持部210の温度と静圧とを選択し、ルイス塩基性アミン触媒又は有機酸触媒の凝縮を防ぐ。有機酸触媒を用いる場合には、気相の有機酸とアルコキシシランとの間の反応を妨害し、表面結合Si−OH基とアルコキシシランとの反応が最大になるように、アルコキシシラン修復剤及び/又はシール剤を導入する前に、過剰な気相有機酸を排気することが望ましい。
気相触媒を用いた処理が完了した後、処理チャンバ200の圧力を、真空に近い圧力レベル(たとえば、約0.5トールから約10トールの範囲の圧力)まで低下させて、アルコキシシラン修復剤の気化を促進させる。真空に近い圧力レベルまで排気する前に、処理チャンバ200を不活性ガス(窒素やアルゴン等)でバックフィルするようにしてもよい。処理チャンバ200及び基板支持部210の温度を、約60℃から約275℃の範囲、望ましくは約100℃から約200℃の範囲の第1の温度に保持する。化学気体供給システム220Bから処理チャンバ200にアルコキシシラン修復剤を供給し、半導体基板100を処理する。半導体基板100を、失われたメチル基の少なくとも50%、望ましくは、失われたメチル基の約75%から約90%をアルキル基(エチル基、プロピル基、ブチル基等)で修復するのに有効な量のアルコキシシラン修復剤に接触させる。
一実施例において、一定量のアルコキシシラン修復剤を供給し、処理チャンバ200内の修復剤の静圧を約20トールから約1500トール(すなわち、約2バール)の範囲、望ましくは、約100トールから約760トールの範囲とする。第1の温度における半導体基板100と気相アルコキシシラン修復剤との総接触時間は、約10秒から約180秒、望ましくは約20秒から約60秒の範囲とする。別の実施例において、真空ポンプ240及び/又は背圧調整器250を同時に作動させつつ、処理チャンバ200内に気相修復剤を流すことにより、動的真空状態を保持するようにしてもよい。
ナノポーラスLKD体110の大部分でアルコキシシラン修復剤とシラノール基との反応性を高めるために、第1の温度におけるアルコキシシラン修復剤との接触が完了した後、ナノポーラスLKD体110を第2の温度でアルコキシシラン修復剤と接触させることが望ましい。ウエハー支持部210の温度を、第1の温度から、約80℃から約300℃の範囲の、望ましくは約150℃から約250℃の範囲の第2の温度まで上昇させる。第2の温度における半導体基板100と気相アルコキシシラン修復剤との総接触時間は、約10秒から約180秒、望ましくは約20秒から約60秒の範囲とする。
アルコキシシラン修復剤の例としては、メチルトリメトキシシラン(CH3−Si−(O−CH33)、ジメトキシジメチルシラン((CH32−Si−(OCH32)、メトキシトリメチルシラン((CH33−Si−OCH3)、又はn−プロピルトリメトキシシラン(CH3−CH2−CH2−Si−(OCH33:n−PTMS)が挙げられる。用いられるアルコキシシラン修復剤の種類に応じて、処理チャンバ200及び基板支持部210の温度と静圧とを選択し、アルコキシシラン修復剤の凝縮を防ぐ。LKD体の表面上でアルコキシシラン修復剤が凝縮すると、ナノポーラスLKD体への均一なアルコキシシラン修復剤の浸透が妨害され、悪影響を及ぼす。修復剤を確実に気相状態にするために、ナノポーラスLKD体を飽和点未満で修復剤に接触させる(すなわち、所定温度での蒸気圧を760ミリバール未満とする)。このような条件下では、ナノポーラスLKD体上で飽和状態(すなわち、液状剤の形成)は生じない。
約30秒間から約180秒間、真空チャンバの圧力を約0.5トールに低下させて、半導体基板100から過剰な修復剤と反応副生成物とを除去する。あるいは、チャンバの圧力を静圧レベルに保持して、不活性フラッシングガスの動的流れを利用して、残留修復剤を除去するようにしてもよい。
必要に応じて、気相触媒及び気相アルコキシシラン修復剤をナノポーラスLKD体に接触させる前述の工程を、第2の温度において、同じ半導体基板100上で一回以上繰り返して、アルコキシシラン修復剤の反応性を高める。たとえば、気相触媒及びアルコキシシラン修復剤のナノポーラスLKD体への接触を最大で10回繰り返すようにしてもよい。
気相修復剤を用いる処理が完了した後、半導体基板100をアルコキシシランシール剤に接触させることにより、LKD体110の表面をシーリングするようにしてもよい。上述したように、このシーリング工程により、開口部の側壁と底部の表面が、最大約2nmの厚さのアルコキシシラン膜で被覆され、ナノポーラスLKD体の連通孔内への被覆バリア材の拡散を防ぐことができる。シーリング工程の前に、LKD体110を気相触媒に接触させるようにしてもよい。
シーリング工程は、気相触媒を用いる処理を含めて、上述した修復工程と同一であるが、アルコキシシラン修復剤の代わりにアルコキシシランシール剤が用いられる。アルコキシシランシール剤の例としては、ビス(ジメトキシメチル)シロキサン、ビス(メチルジメトキシシリルプロピル)−N−メチルアミン、ビス(トリエトシキシリル)エタン、1,2−ビス(トリメトキシシリル)ヘキサン、ビス(トリメトキシシリルプロピル)アミン、又はビス(3−(トリエトキシシリル)プロピル)ジスルフィドが挙げられる。
開口部130の側壁部及び底部へのプラズマ損傷が限定的であり修復を必要としない場合には、最初の修復工程を省略して、LKD体110の表面をアルコキシシラン修復剤に接触させて、シーリングするようにしてもよい。この場合には、シーリング工程の前に、気相触媒に半導体基板100及びLKD体110を接触させる。
必要に応じて、修復工程及び/又はシーリング工程が完了した後に、アルコキシシラン化合物を水平にネットワーク化して、3次元水平ネットワーク構造を形成し、ナノポーラスLKD体の機械強度を改善するようにしてもよい。図4に示すように、この水平ネットワーク化工程は、上述したようにLKD体を有機酸(酢酸、トリフルオロ酢酸、トリクロロ酢酸、クエン酸等)で処理して、水と残留アルコキシ基との間の加水分解反応を触媒する。好適な実施例において、上述した温度範囲、圧力範囲、及び時間範囲で、化学気体供給システム220を用いて、気相有機酸を処理チャンバ200に供給する。アルコキシシラン修復剤及び/又はシール剤を水平ネットワーク化するのに有効な量の気相触媒に、LKD体を接触させる。
気相有機酸を用いた処理が完了した後、半導体基板100の温度を、約60秒間から約360秒間、約200℃から約300℃まで上昇させる。この加熱処理により、隣接するアルコキシシラン分子間の縮合反応が促進され、3次元水平ネットワーク構造が形成され、ナノポーラスLKD体の機械強度が改善される。この加熱処理は、処理チャンバ200内で行うようにしてもよいし、半導体基板100を処理チャンバ200内から取り出して、ホットプレート上で加熱するようにしてもよい。
気相修復及びシーリング処理の完了後、半導体基板100に次のダマシン処理を施す。ダマシン処理は、図1Bから図1Dに示すように、開口部130内にバリア層140を析出させ、開口部130内に金属バルク層150を形成し、全体構造を平坦化する処理である。ナノポーラスLKD体110における開口部130の側壁及び底部表面を気相シーリングすることにより、バリア層140の形成に用いた物質(たとえば、Ti、TiN、Ta、TaN、Ru、又はRuOx)のナノポーラスLKD体110の連通孔内への侵入を防ぐ。ナノポーラスLKD体110の気相修復及びシーリング処理を行うことにより、CMPをはじめとする後段の機械処理工程に耐える、より頑強な構造を形成することができる。
実施例1
一つの実施例として、エッチングにより損傷したナノポーラスLKD体110を備える半導体基板100を、気相メチルトリメトキシシラン(CH3−Si−(O−CH33)を修復剤として、また、気相ジチルアミン((CH32NH)を触媒として用いて、気相修復する例を説明する。
エッチングにより損傷したlow−k誘電体層110を備える半導体基板100を、処理チャンバ200の基板支持部210上に載置する。処理チャンバ200を50トール未満の圧力レベルまで排気し、基板支持部210及び処理チャンバ200を約100℃の第1の温度まで加熱する。気相ジチルアミンを、700トールの静圧レベルまで、化学気体供給システム220Aから処理チャンバ200に供給する。半導体基板100を、約20秒間、気相ジチルアミンに接触させる。
気相ジチルアミン処理の完了後、残留アミンが除去されると共に、通常状態では液体のメチルメトキシシランの気化が促進されるように、動的真空下で真空ポンプ240を用いて排気して、処理チャンバ200内の圧力を約5トール以下まで減圧する。
気相メチルトリメトキシシラン(CH3−Si−(O−CH33)を、400トールの静圧レベルまで、化学気体供給システム220Bから処理チャンバ200内に流入させる。半導体基板100を、約100℃の第1の温度で、約20秒間、気相メチルトリメトキシシランに接触させる。
基板支持部210の温度を約100℃の第1の温度から約150℃の第2の温度まで上昇させて、半導体基板100を、さらに30秒間、気相メチルトリメトキシシランに接触させる。
気相メチルトリメトキシシラン処理の完了後、過剰なメチルトリメトキシシラン及び反応副生成物が除去されるように、約60秒間、動的真空下で真空ポンプ240を用いて排気して、処理チャンバ200内の圧力を約0.5トール以下まで減圧する。
実施例2
別の実施例として、エッチングにより損傷したナノポーラスLKD体110を備える半導体基板100を、気相ビス(3−メチルジメトキシシリルプロピル)−N−メチルアミンをシール剤として、また、気相メチルアミン(CH3NH2)を触媒として用いて、シーリングを行う例を説明する。
エッチングにより損傷したlow−k誘電体層110を備える半導体基板100を、処理チャンバ200の基板支持部210上に載置する。処理チャンバ200を50トール未満の圧力レベルまで排気し、基板支持部210及び処理チャンバ200を約150℃の第1の温度まで加熱する。気相メチルアミンを、1800トールの静圧レベルまで、化学気体供給システム220Aから処理チャンバ200に供給する。半導体基板100を、約60秒間、気相メチルアミンに接触させる。
気相メチルアミン処理の完了後、動的真空下で真空ポンプ240を用いて排気して、処理チャンバ200内の圧力を約300トール以下まで下げる。
ビス(3−メチルジメトキシシリルプロピル)−N−メチルアミン流を、約3000sccmの流量で、キャリアガスとして乾燥窒素を用いて、化学気体供給システム220Cから処理チャンバ200内に導入する。真空ポンプ240を同時に作動させることにより、圧力を400トールに維持する。半導体基板100を、約150℃で、約180秒間、ビス(3−メチルジメトキシシリルプロピル)−N−メチルアミン流に接触させる。
気相ビス(3−メチルジメトキシシリルプロピル)−N−メチルアミン処理の完了後、約60秒間、動的真空下で真空ポンプ240を用いて排気して、処理チャンバ200内の圧力を約2トール以下まで減圧する。
実施例3
ナノポーラスLKD体(JSR社製JSR LKD−5109)で被覆したシリコン基板検体を準備した。各検体をフォトレジストで被覆し、パターン形成を行って反応性イオンエッチング(RIE)を施すことによりトレンチ(溝)を形成した後、酸素アッシングを行ってフォトレジストを取り除いた。RIEの実行とフォトレジスト除去とにより、パターン形成領域においてエッチング処理で形成された開口部の周囲に、プラズマによる損傷領域が生じる。
プラズマ損傷JSR LKD−5109層を備える第1の検体は、気相トリフルオロ酢酸触媒に接触させた後、気相n−プロピルトリメトキシシラン(n−PTMS)修復剤に接触させた。プラズマ損傷JSR LKD−5109層を備える第2の検体は、n−PTMS修復剤及び超臨界CO2(SCCO2)に溶解させたトリフルオロ酢酸触媒で処理した。未修復のプラズマ損傷JSR LKD−5109層を備える対照検体を第3の検体とした。(プラズマエッチング処理を施さず)JSR LKD−5109層を析出させたままの状態の対照検体を第4の検体とした。各検体を走査型電子顕微鏡(SEM)により分析して、エッチング処理により形成されたトレンチ(溝)の側壁に沿った修復度を求めると共に、二次イオン質量分析(SIMS)により分析して、JSR LKD−5109層の表面から約150nmの深さにわたって炭素対ケイ素比を求めた。
プラズマエッチング損傷JSR LKD−5109層を備える第1の検体を、処理チャンバ200の基板支持部210上に載置した。処理チャンバ200を50トール未満の圧力レベルまで排気し、基板支持部210及び処理チャンバ200を約135℃の第1の温度まで加熱した。トリフルオロ酢酸と水の3:1混合物を気化し、化学気体供給システム220Aから処理チャンバ200に900トールの圧力レベルになるまで導入した。第1の検体を約120秒間気相トリフルオロ酢酸に接触させた後、処理チャンバ200を窒素でバックフィルして、排気した。気相n−PTMS修復剤を化学気体供給システム220Bから処理チャンバ200に供給して、第2の検体を約1000トールの静圧レベルで約5分間処理した。処理チャンバ200を窒素ガスでバックフィルして、第1の検体を約2分間135℃の温度で保持した。その後、処理チャンバから第1の検体を取り出し、ホットプレート上で約120秒間約250℃に加熱した。
第2の検体を(約140℃に)加熱した圧力容器に入れて、密封した後、超臨界二酸化炭素(SCCO2)を用いて約97,500トール(約130バール)まで加圧した。容器内に追加のSCCO2をポンプ注入した後に容器内に触媒をフラッシングさせる高圧注入処理により、トリフルオロ酢酸と水の3:1混合物を添加した。添加後の触媒濃度は、約1容量%で、圧力は、約140℃で約150,000トール(約200バール)であった。約60秒後、純SCCO2を加えて、酸触媒を含有するSCCO2溶液をチャンバからフラッシングさせた。フラッシングの結果、約2分間で約6回の流体ターンオーバー(ある時間内に容器の容量を置き換えるのに必要な流量)が生じた。フラッシング後、SCCO2の除去により、容器内の圧力は、約140℃で約97,500トール(約130バール)まで減少した。その後、容器内に追加のSCCO2をポンプ注入する高圧注入処理により、n−プロピルトリメトキシシラン(n−PTMS)修復剤を容器に加えた。修復剤の濃度は約2容量%であり、容器内の最終圧力は、140℃で約150,000トール(約200バール)であった。5分後、約2分間で8回の流体ターンオーバーが生じるような時間及び流量で、純SCCO2を用いて容器のフラッシングを行った。フラッシングにより容器内の圧力を周囲圧力まで下げた後、第2の検体を取り出した。
(n−PTMSで気相修復した)第1の検体と、(n−PTMS SCCO2修復した)第2の検体と、(未修復の)第3の検体と、をSEMで分析した。各検体を約60秒間1%HF溶液でエッチング処理した後、脱イオン水で洗浄した。1%HF溶液は、ナノポーラスLKD体由来のSi−OH基を優先的にエッチングするため、トレンチ(溝)の側壁に沿ったアンダーカットの深さにより修繕度合いを数値化することができる。図6Aに、(未修復の)第3の検体のSEM画像を示す。アンダーカットの深さはトレンチ(溝)の側壁内の約43nmの深さであった。図6Bに、(n−PTMS SCCO2修復した)第2の検体のSEM画像を示す。アンダーカットの深さはトレンチ(溝)の側壁内の約11nmの深さであった。図6Cに、(n−PTMSで気相修復した)第1の検体のSEM画像を示す。アンダーカットの深さはトレンチ(溝)の側壁内の約11nmの深さであった。図6Bおよび図6Cから、エッチングにより損傷したナノポーラスLKD体の修復に、気相修復がSCCO2と同様に有効であることがわかる。
(n−PTMSで気相修復した)第1の検体と、(n−PTMS SCCO2修復した)第2の検体と、(未修復の)第3の検体と、(JSR LKD−5109を析出させたままの状態の)第4の検体と、をSIMSで分析し、深さの関数として、炭素対ケイ素比を求めた。各検体の表面をスパッタリングして、スパッタリングにより生じる二次イオンを質量分析計で分析した。
図7に示すように、JSR LKD−5109を析出させたままの状態の第4の検体の炭素対ケイ素比は約0.55であった(図7の黒四角■)。エッチング処理によるトレンチ(溝)形成及びフォトレジスト除去後の第3の検体の炭素対ケイ素比は、約0.45から約0.55の範囲まで減少した(図7の白四角□)。一方、第2の検体では、炭素対ケイ素比は、JSR LKD−5109を析出させたままの状態の炭素対ケイ素比を上回る約0.6から約0.8の範囲まで増加した(図7の白丸○)。これは、修復工程により、失われたメチル基(−CH3)をプロピル基(−C37)で置換したために、損傷領域の炭素対ケイ素比が増加したためである。第1の検体では、炭素対ケイ素比は、最大約1.45まで大幅に増加した(図7の黒丸●)。この結果は、気相修復により、多孔表面のn−PTMS及び/又はシーリングがより効果的に緻密化されている可能性を示すものである。
以上、本発明をいくつかの実施例を参照して詳述したが、本発明は上記の実施例に限られるものではなく、当業者には自明のことであるが、特許請求の範囲に記載される本発明の要旨を逸脱しない範囲において、さまざまに変形や変更が可能であり、等価の形態も含まれる。
適用例1:半導体基板上に形成されたナノポーラスlow−k(低誘電率)誘電体の処理方法であって、前記low−k誘電体は、エッチング処理により形成された開口部であって、前記エッチング処理により形成された開口部の外表面上および連通孔の内表面上に、シラノール基を含むエッチングによる損傷領域を有する開口部を備え、前記方法は、(a)前記low−k誘電体を、前記エッチングによる損傷領域において気相触媒と前記シラノール基との間に水素結合を形成するのに有効な量の前記気相触媒に接触させて、触媒中間体を形成する工程と、これに続き、(b)前記low−k誘電体を、前記エッチングによる損傷領域において約50%以上のシラノール基と反応するのに有効な量のアルコキシシラン修復剤と接触させることにより、前記アルコキシシラン修復剤を前記触媒中間体と反応させる工程、及び/又は、(c)前記low−k誘電体を、前記連通孔内への被覆バリア層の拡散を防ぐのに有効な量のアルコシキシランシール剤と接触させることにより、前記アルコシキシランシール剤を前記触媒中間体と反応させる工程と、を備える、方法。
適用例2:適用例1に記載の方法であって、(i)前記触媒がルイス塩基性アミン又は有機酸であって、(ii)前記工程(c)の前に、前記工程(a)および前記工程(b)を最大で10回繰り返し、(iii)前記シール剤が、前記エッチング処理により形成された開口部の外表面上に、最大で厚さ2nmのアルコシキシラン膜を形成する、及び/又は、前記アルコキシシラン修復剤が約80から約125の原子質量単位を有し、前記アルコキシシランシール剤が約200から約400の原子質量単位を有する、方法。
適用例3:適用例1に記載の方法はさらに、前記工程(c)の前に前記工程(a)を繰り返す工程を備える、方法。
適用例4:適用例2に記載の方法であって、前記ルイス塩基性アミンが、アンモニウム(NH 3 )、メチルアミン(CH 3 NH 2 )、ジメチルアミン((CH 3 2 NH)、若しくはトリメチルアミン(N(CH 3 3 )であり、又は、前記有機酸が、酢酸、トリフルオロ酢酸、トリクロロ酢酸、若しくはクエン酸の水溶液である、方法。
適用例5:適用例2に記載の方法はさらに、前記工程(a)の後で前記工程(b)の前に、前記low−k誘電体から前記有機酸気相触媒を除去し、(i)前記有機酸気相触媒と(ii)前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤との間の気相反応を妨害する工程を備える、方法。
適用例6:適用例5に記載の方法であって、
前記アルコキシシラン修復剤が、メチルトリメトキシシラン(CH 3 −Si−(O−CH 3 3 )、ジメトキシジメチルシラン((CH 3 2 −Si−(OCH 3 2 )、メトキシトリメチルシラン((CH 3 3 −Si−OCH 3 )、又はn−プロピルトリメトキシシラン(CH 3 −CH 2 −CH 2 −Si−(OCH 3 3 )であり、前記アルコキシシランシール剤が、ビス(ジメトキシメチル)シロキサン、ビス(メチルジメトキシシリルプロピル)−N−メチルアミン、ビス(トリエトシキシリル)エタン、1,2−ビス(トリメトキシシリル)ヘキサン、ビス(トリメトキシシリルプロピル)アミン、又はビス(3−(トリエトキシシリル)プロピル)ジスルフィドである、方法。
適用例7:適用例1に記載の方法であって、前記low−k誘電体を前記気相触媒に接触させる前記工程(a)は、前記半導体基板を処理チャンバ内に設置し、前記処理チャンバを排気する工程と、前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、前記触媒を、約20トールから約1500トールの範囲の圧力で、約10秒から約60秒の範囲の時間、前記チャンバに導入する工程と、前記チャンバを排気する工程と、を備える、方法。
適用例8:適用例7に記載の方法であって、前記第1の温度が約100℃から約200℃の範囲であり、前記圧力が約100トールから約760トールの範囲であり、前記時間が約20秒から約30秒の範囲である、方法。
適用例9:適用例7に記載の方法であって、前記low−k誘電体を前記気相修復剤に接触させる前記工程(b)及び/又は前記low−k誘電体を前記気相シール剤に接触させる前記工程(c)は、前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、前記アルコキシシラン修復剤又は前記アルコキシシランシール剤を、約20トールから約1500トールの範囲の圧力で、約10秒から約180秒の範囲の時間、前記チャンバに導入する工程と、前記チャンバを排気する工程と、を備える、方法。
適用例10:適用例9に記載の方法であって、前記第1の温度が約100℃から約200℃の範囲であり、前記圧力が約100トールから約760トールの範囲であり、前記時間が約20秒から約60秒の範囲である、方法。
適用例11:適用例9に記載の方法であって、前記low−k誘電体を前記気相修復剤に接触させる前記工程(b)及び/又は前記low−k誘電体を前記気相シール剤に接触させる前記工程(c)は、前記チャンバを排気する前に、前記半導体基板を約80℃から約300℃の範囲の第2の温度まで、約10秒から約180秒の範囲の追加の時間、加熱する工程と、前記チャンバを排気した後、前記半導体基板を前記第2の温度で、約10秒間から約180秒間保持する工程と、を備える、方法。
適用例12:適用例11に記載の方法であって、前記第2の温度が約150℃から約250℃の範囲であり、前記追加の時間が約20秒から約60秒の範囲である、方法。
適用例13:適用例7に記載の方法はさらに、(d)前記low−k誘電体を、前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤の水平ネットワークを形成するのに有効な量の有機酸気相触媒に接触させる工程と、(e)前記low−k誘電体を、前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤の水平ネットワークを形成するのに有効な温度まで加熱する工程と、を備える、方法。
適用例14:適用例13に記載の方法であって、前記low−k誘電体を、前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤の水平ネットワークを形成するのに有効な量の有機酸気相触媒に接触させる前記工程(d)は、前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、前記有機酸気相触媒を、約20トールから約1500トールの範囲の圧力で、約10秒から約60秒の範囲の時間、前記チャンバに導入する工程と、前記チャンバを排気する工程と、を備える、方法。
適用例15:適用例13に記載の方法であって、前記low−k誘電体を、前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤の水平ネットワークを形成するのに有効な温度まで加熱する前記工程(e)は、前記半導体基板を、前記チャンバ内で、約200℃から約300℃の範囲の温度まで、約60秒間から約180秒間加熱する工程、又は前記半導体基板を前記チャンバから取り出して、前記半導体基板をホットプレート上に置き、前記半導体基板を、約200℃から約300℃の範囲の温度まで、約60秒間から約180秒間加熱する工程、を備える、方法。
適用例16:半導体基板上に形成されたナノポーラスlow−k(低誘電率)誘電体の処理方法であって、前記low−k誘電体は、エッチング処理により形成された開口部であって、前記エッチング処理により形成された開口部の外表面上および連通孔の内表面上に、シラノール基を含むエッチングによる損傷領域を有する開口部を備え前記方法は、(a)前記low−k誘電体を、前記エッチングによる損傷領域において気相有機酸触媒と前記シラノール基との間に水素結合を形成するのに有効な量の前記気相有機酸触媒に接触させて、触媒中間体を形成する工程と、これに続き、(b)前記low−k誘電体を、前記エッチングによる損傷領域において約50%以上のシラノール基と反応するのに有効な量のアルコキシシラン修復剤と接触させることにより、前記アルコキシシラン修復剤を前記触媒中間体と反応させる工程、及び/又は、(c)前記low−k誘電体を、前記連通孔内への被覆バリア層の拡散を防ぐのに有効な量のアルコシキシランシール剤と接触させることにより、前記アルコシキシランシール剤を前記触媒中間体と反応させる工程と、を備える、方法。
適用例17:適用例16に記載の方法であって、前記有機酸が、酢酸、トリフルオロ酢酸、トリクロロ酢酸、又はクエン酸の水溶液である、方法。
適用例18:適用例16に記載の方法であって、前記アルコキシシラン修復剤が、メチルトリメトキシシラン(CH 3 −Si−(O−CH 3 3 )、ジメトキシジメチルシラン((CH 3 2 −Si−(OCH 3 2 )、メトキシトリメチルシラン((CH 3 3 −Si−OCH 3 )、又はn−プロピルトリメトキシシラン(CH 3 −CH 2 −CH 2 −Si−(OCH 3 3 )であり、前記アルコキシシランシール剤が、ビス(ジメトキシメチル)シロキサン、ビス(メチルジメトキシシリルプロピル)−N−メチルアミン、ビス(トリエトシキシリル)エタン、1,2−ビス(トリメトキシシリル)ヘキサン、ビス(トリメトキシシリルプロピル)アミン、又はビス(3−(トリエトキシシリル)プロピル)ジスルフィドである、方法。
適用例19:適用例16に記載の方法であって、前記low−k誘電体を前記気相有機酸触媒に接触させる前記工程(a)は、前記半導体基板を処理チャンバ内に設置し、前記処理チャンバを排気する工程と、前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、前記気相有機酸触媒を、約20トールから約1500トールの範囲の圧力で、約10秒から約60秒の範囲の時間、前記チャンバに導入する工程と、
前記チャンバを排気する工程と、を備える、方法。
適用例20:適用例16に記載の方法は、さらに、(d)前記low−k誘電体を、前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤の水平ネットワークを形成するのに有効な量の有機酸気相触媒に接触させる工程と、(e)前記low−k誘電体を、前記アルコキシシラン修復剤及び/又は前記アルコキシシランシール剤の水平ネットワークを形成するのに有効な温度まで加熱する工程と、を備える、方法。

Claims (19)

  1. 半導体基板上に形成されたナノポーラスlow−k(低誘電率)誘電体の処理方法であって、
    前記low−k誘電体は、エッチング処理により形成された開口部であって、前記エッチング処理により形成された開口部の外表面上および連通孔の内表面上に、シラノール基を含むエッチングによる損傷領域を有する開口部を備え、
    前記方法は、
    (a)前記low−k誘電体を、前記エッチングによる損傷領域において気相触媒と前記シラノール基との間に水素結合を形成するのに有効な量の前記気相触媒に接触させて、触媒中間体を形成する工程と、これに続き、
    (b)前記low−k誘電体を、前記エッチングによる損傷領域において約50%以上のシラノール基と反応するのに有効な量のアルコキシシラン修復剤と接触させることにより、前記アルコキシシラン修復剤を前記触媒中間体と反応させる工程、及び、
    (c)前記low−k誘電体を、前記連通孔内への被覆バリア層の拡散を防ぐのに有効な量のアルコシキシランシール剤と接触させることにより、前記アルコシキシランシール剤を前記触媒中間体と反応させる工程
    備え、
    (i)前記触媒がルイス塩基性アミン又は有機酸であって、
    (ii)前記工程(c)の前に、前記工程(a)および前記工程(b)を最大で10回繰り返し、
    (iii)前記シール剤は、前記エッチング処理により形成された開口部の外表面上に、最大で厚さ2nmのアルコシキシラン膜を形成するシール剤、及び、前記アルコキシシラン修復剤が約80から約125の原子質量単位を有し、前記アルコキシシランシール剤が約200から約400の原子質量単位を有するシール剤、の少なくともいずれか一方である、方法。
  2. 請求項1に記載の方法はさらに、前記工程(c)の前に前記工程(a)を繰り返す工程を備える、方法。
  3. 請求項1に記載の方法であって、
    前記ルイス塩基性アミンが、アンモニウム(NH3)、メチルアミン(CH3NH2)、ジメチルアミン((CH32NH)、若しくはトリメチルアミン(N(CH33)であり、又は、前記有機酸が、酢酸、トリフルオロ酢酸、トリクロロ酢酸、若しくはクエン酸の水溶液である、方法。
  4. 請求項1に記載の方法はさらに、前記工程(a)の後で前記工程(b)の前に、前記low−k誘電体から前記有機酸気相触媒を除去し、(i)前記有機酸気相触媒と(ii)前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方との間の気相反応を妨害する工程を備える、方法。
  5. 請求項4に記載の方法であって、
    前記アルコキシシラン修復剤が、メチルトリメトキシシラン(CH3−Si−(O−CH33)、ジメトキシジメチルシラン((CH32−Si−(OCH32)、メトキシトリメチルシラン((CH33−Si−OCH3)、又はn−プロピルトリメトキシシラン(CH3−CH2−CH2−Si−(OCH33)であり、前記アルコキシシランシール剤が、ビス(ジメトキシメチル)シロキサン、ビス(メチルジメトキシシリルプロピル)−N−メチルアミン、ビス(トリエトシキシリル)エタン、1,2−ビス(トリメトキシシリル)ヘキサン、ビス(トリメトキシシリルプロピル)アミン、又はビス(3−(トリエトキシシリル)プロピル)ジスルフィドである、方法。
  6. 請求項1に記載の方法であって、
    前記low−k誘電体を前記気相触媒に接触させる前記工程(a)は、
    前記半導体基板を処理チャンバ内に設置し、前記処理チャンバを排気する工程と、
    前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、
    前記触媒を、約20トールから約1500トールの範囲の圧力で、約10秒から約60秒の範囲の時間、前記チャンバに導入する工程と、
    前記チャンバを排気する工程と、
    を備える、方法。
  7. 請求項6に記載の方法であって、
    前記第1の温度が約100℃から約200℃の範囲であり、前記圧力が約100トールから約760トールの範囲であり、前記時間が約20秒から約30秒の範囲である、方法。
  8. 請求項6に記載の方法であって、
    前記low−k誘電体を前記気相修復剤に接触させる前記工程(b)及び前記low−k誘電体を前記気相シール剤に接触させる前記工程(c)の少なくともいずれか一方は、
    前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、
    前記アルコキシシラン修復剤又は前記アルコキシシランシール剤を、約20トールから約1500トールの範囲の圧力で、約10秒から約180秒の範囲の時間、前記チャンバに導入する工程と、
    前記チャンバを排気する工程と、を備える、方法。
  9. 請求項8に記載の方法であって、
    前記第1の温度が約100℃から約200℃の範囲であり、前記圧力が約100トールから約760トールの範囲であり、前記時間が約20秒から約60秒の範囲である、方法。
  10. 請求項8に記載の方法であって、
    前記low−k誘電体を前記気相修復剤に接触させる前記工程(b)及び前記low−k誘電体を前記気相シール剤に接触させる前記工程(c)の少なくともいずれか一方は、
    前記チャンバを排気する前に、前記半導体基板を約80℃から約300℃の範囲の第2の温度まで、約10秒から約180秒の範囲の追加の時間、加熱する工程と、
    前記チャンバを排気した後、前記半導体基板を前記第2の温度で、約10秒間から約180秒間保持する工程と、を備える、
    方法。
  11. 請求項10に記載の方法であって、
    前記第2の温度が約150℃から約250℃の範囲であり、前記追加の時間が約20秒から約60秒の範囲である、方法。
  12. 請求項6に記載の方法はさらに、
    (d)前記low−k誘電体を、前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方の水平ネットワークを形成するのに有効な量の有機酸気相触媒に接触させる工程と、
    (e)前記low−k誘電体を、前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方の水平ネットワークを形成するのに有効な温度まで加熱する工程と、を備える、方法。
  13. 請求項12に記載の方法であって、
    前記low−k誘電体を、前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方の水平ネットワークを形成するのに有効な量の有機酸気相触媒に接触させる前記工程(d)は、
    前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、
    前記有機酸気相触媒を、約20トールから約1500トールの範囲の圧力で、約10秒から約60秒の範囲の時間、前記チャンバに導入する工程と、
    前記チャンバを排気する工程と、を備える、方法。
  14. 請求項12に記載の方法であって、
    前記low−k誘電体を、前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方の水平ネットワークを形成するのに有効な温度まで加熱する前記工程(e)は、
    前記半導体基板を、前記チャンバ内で、約200℃から約300℃の範囲の温度まで、約60秒間から約180秒間加熱する工程、又は
    前記半導体基板を前記チャンバから取り出して、前記半導体基板をホットプレート上に置き、前記半導体基板を、約200℃から約300℃の範囲の温度まで、約60秒間から約180秒間加熱する工程、
    を備える、方法。
  15. 半導体基板上に形成されたナノポーラスlow−k(低誘電率)誘電体の処理方法であって、前記low−k誘電体は、エッチング処理により形成された開口部であって、前記エッチング処理により形成された開口部の外表面上および連通孔の内表面上に、シラノール基を含むエッチングによる損傷領域を有する開口部を備え前記方法は、
    (a)前記low−k誘電体を、前記エッチングによる損傷領域において気相有機酸触媒と前記シラノール基との間に水素結合を形成するのに有効な量の前記気相有機酸触媒に接触させて、触媒中間体を形成する工程と、これに続き、
    (b)前記low−k誘電体を、前記エッチングによる損傷領域において約50%以上のシラノール基と反応するのに有効な量のアルコキシシラン修復剤と接触させることにより、前記アルコキシシラン修復剤を前記触媒中間体と反応させる工程、及び、
    (c)前記low−k誘電体を、前記連通孔内への被覆バリア層の拡散を防ぐのに有効な量のアルコシキシランシール剤と接触させることにより、前記アルコシキシランシール剤を前記触媒中間体と反応させる工程、を備え、
    (i)前記触媒がルイス塩基性アミン又は有機酸であって、
    (ii)前記工程(c)の前に、前記工程(a)および前記工程(b)を最大で10回繰り返し、
    (iii)前記シール剤は、前記エッチング処理により形成された開口部の外表面上に、最大で厚さ2nmのアルコシキシラン膜を形成するシール剤、及び前記アルコキシシラン修復剤が約80から約125の原子質量単位を有し、前記アルコキシシランシール剤が約200から約400の原子質量単位を有するシール剤、の少なくともいずれか一方である、方法。
  16. 請求項15に記載の方法であって、
    前記有機酸が、酢酸、トリフルオロ酢酸、トリクロロ酢酸、又はクエン酸の水溶液である、方法。
  17. 請求項15に記載の方法であって、
    前記アルコキシシラン修復剤が、メチルトリメトキシシラン(CH3−Si−(O−CH33)、ジメトキシジメチルシラン((CH32−Si−(OCH32)、メトキシトリメチルシラン((CH33−Si−OCH3)、又はn−プロピルトリメトキシシラン(CH3−CH2−CH2−Si−(OCH33)であり、前記アルコキシシランシール剤が、ビス(ジメトキシメチル)シロキサン、ビス(メチルジメトキシシリルプロピル)−N−メチルアミン、ビス(トリエトシキシリル)エタン、1,2−ビス(トリメトキシシリル)ヘキサン、ビス(トリメトキシシリルプロピル)アミン、又はビス(3−(トリエトキシシリル)プロピル)ジスルフィドである、方法。
  18. 請求項15に記載の方法であって、
    前記low−k誘電体を前記気相有機酸触媒に接触させる前記工程(a)は、
    前記半導体基板を処理チャンバ内に設置し、前記処理チャンバを排気する工程と、
    前記半導体基板を約60℃から約275℃の範囲の第1の温度まで加熱する工程と、
    前記気相有機酸触媒を、約20トールから約1500トールの範囲の圧力で、約10秒から約60秒の範囲の時間、前記チャンバに導入する工程と、
    前記チャンバを排気する工程と、を備える、方法。
  19. 請求項15に記載の方法は、さらに、
    (d)前記low−k誘電体を、前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方の水平ネットワークを形成するのに有効な量の有機酸気相触媒に接触させる工程と、
    (e)前記low−k誘電体を、前記アルコキシシラン修復剤及び前記アルコキシシランシール剤の少なくともいずれか一方の水平ネットワークを形成するのに有効な温度まで加熱する工程と、を備える、方法。
JP2010539431A 2007-12-19 2008-12-08 low−k誘電体の気相修復及び細孔シーリング Active JP5449189B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US814807P 2007-12-19 2007-12-19
US61/008,148 2007-12-19
PCT/US2008/013471 WO2009085098A1 (en) 2007-12-19 2008-12-08 Vapor phase repair and pore sealing of low-k dielectric materials

Publications (3)

Publication Number Publication Date
JP2011508420A JP2011508420A (ja) 2011-03-10
JP2011508420A5 JP2011508420A5 (ja) 2012-01-26
JP5449189B2 true JP5449189B2 (ja) 2014-03-19

Family

ID=40824589

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010539431A Active JP5449189B2 (ja) 2007-12-19 2008-12-08 low−k誘電体の気相修復及び細孔シーリング

Country Status (6)

Country Link
US (1) US7998875B2 (ja)
JP (1) JP5449189B2 (ja)
KR (1) KR101542636B1 (ja)
CN (1) CN102089861B (ja)
TW (1) TWI497594B (ja)
WO (1) WO2009085098A1 (ja)

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP5582710B2 (ja) * 2009-03-24 2014-09-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7981699B2 (en) 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
JP5530744B2 (ja) * 2010-02-15 2014-06-25 大陽日酸株式会社 絶縁膜のダメージ回復方法及びダメージが回復された絶縁膜
JP4913269B1 (ja) * 2010-09-10 2012-04-11 三井化学株式会社 半導体装置の製造方法およびリンス液
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
CN102624997B (zh) * 2011-02-01 2016-03-30 阿尔卡特朗讯 用于为用户提供有关计费的语音服务的方法和装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
SG11201700576UA (en) * 2014-08-08 2017-02-27 Mitsui Chemicals Inc Sealing composition and method of manufacturing semiconductor device
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017027430A1 (en) * 2015-08-07 2017-02-16 Sba Materials, Inc. Plasma damage management
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101932763B1 (ko) * 2017-03-22 2018-12-26 한국과학기술원 다공성 절연물질 표면의 선택적 실링 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20210384029A1 (en) * 2018-04-09 2021-12-09 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455130B1 (en) * 1997-04-17 2002-09-24 Alliedsignal Inc. Nanoporous dielectric films with graded density and process for making such films
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films
TW525268B (en) * 1998-03-25 2003-03-21 Honeywell Int Inc Nanoporous dielectric films with graded density and process for making such films
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
CN1669130A (zh) 2002-09-20 2005-09-14 霍尼韦尔国际公司 用于低介电常数材料的夹层增粘剂
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials

Also Published As

Publication number Publication date
CN102089861A (zh) 2011-06-08
WO2009085098A1 (en) 2009-07-09
KR20100100976A (ko) 2010-09-15
TW200943417A (en) 2009-10-16
TWI497594B (zh) 2015-08-21
KR101542636B1 (ko) 2015-08-06
US20110020955A1 (en) 2011-01-27
CN102089861B (zh) 2013-03-27
JP2011508420A (ja) 2011-03-10
US7998875B2 (en) 2011-08-16

Similar Documents

Publication Publication Date Title
JP5449189B2 (ja) low−k誘電体の気相修復及び細孔シーリング
US7015150B2 (en) Exposed pore sealing post patterning
US7314828B2 (en) Repairing method for low-k dielectric materials
JP5392628B2 (ja) 層間絶縁膜および配線構造と、それらの製造方法
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
JP4594988B2 (ja) 金属間誘電体として用いられる低k及び超低kの有機シリケート膜の疎水性の回復
JP4160277B2 (ja) 半導体装置の製造方法
JP2009152544A (ja) 多層配線構造に空隙を形成する方法
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
JP2011526078A (ja) 薄いバリア層を用いた多孔性誘電体への溶媒および溶液の侵入の阻止および低減
US7232763B2 (en) Method of manufacturing semiconductor device
US7138333B2 (en) Process for sealing plasma-damaged, porous low-k materials
KR101013483B1 (ko) 반도체 장치의 제조 방법
JP2004165660A (ja) 半導体素子の多孔性物質膜を形成する方法
WO2018112323A1 (en) Method of selective deposition for beol dielectric etch
TWI282602B (en) Dual damascene process
TW202348825A (zh) 用於選擇性金屬沉積的選擇性抑制

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111205

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130708

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131224

R150 Certificate of patent or registration of utility model

Ref document number: 5449189

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250