KR20100100976A - 로우-k 유전체 재료의 기상 보수 및 기공 밀봉 - Google Patents

로우-k 유전체 재료의 기상 보수 및 기공 밀봉 Download PDF

Info

Publication number
KR20100100976A
KR20100100976A KR1020107015900A KR20107015900A KR20100100976A KR 20100100976 A KR20100100976 A KR 20100100976A KR 1020107015900 A KR1020107015900 A KR 1020107015900A KR 20107015900 A KR20107015900 A KR 20107015900A KR 20100100976 A KR20100100976 A KR 20100100976A
Authority
KR
South Korea
Prior art keywords
alkoxysilane
gaseous
low
dielectric material
seconds
Prior art date
Application number
KR1020107015900A
Other languages
English (en)
Other versions
KR101542636B1 (ko
Inventor
제임스 데영
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100100976A publication Critical patent/KR20100100976A/ko
Application granted granted Critical
Publication of KR101542636B1 publication Critical patent/KR101542636B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Abstract

반도체 기판상에 형성된 나노다공성 로우-k 유전체 재료를 처리하는 방법이 제공된다. 로우-k 유전체 재료는 에칭된 개구를 갖고, 이 에칭된 개구는 에칭된 개구의 외부 표면상에 그리고 상호연결된 기공의 내부 표면상에 실라놀기를 함유하는 에칭 손상된 영역을 갖는다. 먼저, 로우-k 유전체 재료는 에칭 손상된 영역의 실라놀기와 기상 촉매 사이의 수소 결합을 형성하는데 효과적인 양의 기상 촉매와 접촉되어 촉매 매개체를 형성한다. 둘째로, 기상 알콕시실란 보수제가 촉매 매개체와 반응하도록, 로우-k 유전체 재료는 에칭 손상된 영역의 실라놀기의 약 50% 이상과 반응하는데 효과적인 양의 기상 알콕시실란 보수제와 접촉되고; 및/또는 기상 알콕시실란 밀봉제가 촉매 매개체와 반응하도록, 로우-k 유전체 재료가 상호연결된 기공으로 상부 배리어층의 확산을 방지하는데 효과적인 양의 기상 알콕시실란 밀봉제와 접촉된다.

Description

로우-k 유전체 재료의 기상 보수 및 기공 밀봉{VAPOR PHASE REPAIR AND PORE SEALING OF LOW-K DIELECTRIC MATERIALS}
집적 회로 디바이스의 치수가 계속해서 축소됨에 따라, 다수의 트랜지스터들 사이에서 신호 전파에 대한 시간 지연 (즉, 전파 지연) 이 디바이스의 성능을 결정하는데 점점 더 중요한 파라미터가 되고 있다. 전파 지연은 금속 상호연결 라인의 저항 (R) 과 레벨간 유전체 절연 재료의 커패시턴스 (C) 의 곱에 비례한다 (또한, RC 지연이라고도 지칭된다). 따라서, 전파 지연을 최소화하기 위해서는, 고전도율 금속 (또는 저저항율 금속) 과 조합하여 저유전상수 재료와 절연 재료를 통합하는 것이 유리하다. 예를 들어, OSG (organosilicate glass), TEOS (tetraethylorthosilicate), FSG (fluorinated silica glass) 및 탄소-도핑된 산화물과 같은 로우-k 유전체 (LKD) 재료 (k<3.0) 가 또한 실리콘 산화물 (k=3.8 - 4.0) 에 대한 대체물로서 주목받고 있다. 더욱 더 낮은 유전체 값을 획득하기 위해, LKD 재료는 벌크 LKD 의 전체 k 값을 저하시키기 위해 공기 (k=1) 를 포획하는 상호연결된 나노다공성 구조를 함유할 수 있다. 이와 같이, 구리 (Cu) 는 그 더 낮은 저항값 (R<2μΩ·㎝) 때문에 보다 통상적인 알루미늄 (Al) 상호연결 금속 (R=3.0 - 5.0μΩ·㎝) 에 대한 대체물로서 상호연결 라인용 금속으로서 상당히 주목받고 있다.
구리는 휘발성 부산물을 쉽게 형성하지 않기 때문에, 종래의 또는 서브트랙티브 에칭 기술은 적당하지 않다. 따라서, 패터닝된 구리 상호연결 라인을 형성하기 위해 다마신 제조 프로세스가 필요한데, 이 프로세스는 사전에 패터닝된 개구 (예를 들어, 트렌치 또는 비아) 내에 도전성 재료를 증착하는 단계를 수반한다. 따라서, 다마신 프로세싱은 LKD 재료에서의 사전에 패터닝된 개구의 에칭을 필요로 한다. 이는, 유전체 층 상에서 포토레지스트와 같은 마스크 층에 개구를 트렌치 또는 비아의 형상으로 패터닝한 후 습식 또는 건식 에칭함으로써 수행된다. 그러나, LKD 재료의 에칭, 애싱 또는 세정은 LKD 재료를 잠재적으로 손상시킬 수 있다.
반도체 기판상에 형성된 나노다공성 로우-k 유전체 재료를 처리하는 방법이 제공된다. 로우-k 유전체 재료는 에칭된 개구를 가지며, 이 에칭된 개구는 에칭된 개구의 외부 표면상에 그리고 상호연결된 기공의 내부 표면상에 실라놀기를 함유하는 에칭 손상된 영역을 갖는다. 먼저, 로우-k 유전체 재료가 에칭 손상된 영역의 실라놀기와 기상 촉매 사이에서 수소 결합을 형성하는데 효과적인 양으로 기상 촉매와 접촉되어 촉매 매개체 (catalytic intermediary) 를 형성한다. 다음으로, 알콕시실란 보수제 (alkoxysilane repair agent) 가 촉매 매개체와 작용하도록, 로우-k 유전체 재료는 에칭 손상된 영역의 실라놀기의 약 50% 이상과 작용하는데 효과적인 양의 기상 알콕시실란 보수제와 접촉되고; 및/또는 기상 알콕시실란 밀봉제가 촉매 매개체와 반응하도록, 로우-k 유전체 재료는 상호연결된 기공들내로 하부의 배리어층의 확산을 방지하기 위해 효과적인 양의 기상 알콕시실란 밀봉제와 접촉된다.
다른 실시형태에서, 반도체 기판상에 형성된 나노다공성 로우-k 유전체 재료를 처리하는 방법이 제공된다. 로우-k 유전체 재료는 에칭된 개구를 가지며, 이 에칭된 개구는 에칭된 개구의 외부 표면상에 그리고 상호연결된 기공의 내부 표면상에 실라놀기를 함유하는 에칭 손상된 영역을 갖는다. 먼저, 로우-k 유전체 재료는 에칭 손상된 영역의 실라놀기와 기상 유기산 촉매 사이에 수소 결합을 형성하기에 효과적인 양의 기상 유기산 촉매와 접촉되어 촉매 매개체를 형성한다. 다음으로, 기상 알콕시실란 보수제가 촉매 매개체와 반응하도록, 로우-k 유전체 재료는 에칭 손상된 영역에서 실라놀기의 약 50% 이상과 반응하기에 효과적인 양의 기상 알콕시실란 보수제와 접촉되고; 및/또는 기상 알콕시실란 밀봉제가 촉매 매개체와 반응하도록, 로우-k 유전체 재료는 상호연결된 기공내로 하부 배리어층의 확산을 방지하기 위해 효과적인 양의 기상 알콕시실란 밀봉제와 접촉된다.
도 1 은 다마신 제조 프로세스의 일 예시적인 실시형태를 도시한다.
도 2 는 실란의 가수분해 증착을 도시한다.
도 3 의 A) 는 루이스 염기 아민 (Lewis base amine) 이 존재할 때 표면-결합 Si-OH 기와 n-프로필 트리메톡시실란 (n-PTMS) 사이의 반응을 도시한다.
도 3 의 B) 는 Si-OH 기로의 알콕시기의 변환을 도시한다.
도 3 의 C) 는 인접하는 실란 화합물들 사이에서 수평 네트워크의 형성을 도시한다.
도 4 의 A) 내지 D) 는 유기산의 존재시에 인접 실란 화합물들 사이의 수평 네트워크의 형성을 도시한다.
도 5a 는 나노다공성 LKD 재료로 반도체 기판을 보수하고 및/또는 밀봉하기 위한 프로세스 챔버 및 화학 증기 공급 시스템 (chemical vapor delivery system) 을 도시한다.
도 5b 는 액제 (liquid agent) 의 증발 및 공급을 위한 화학 증기 공급 시스템을 도시한다.
도 6a 내지 도 6c 는 기상 보수된 샘플, 초임계 CO2 보수된 샘플 및 어떠한 보수도 없는 샘플에 대한 에칭된 트렌치의 측벽을 따라서 보수된 정도를 특징으로 하는 SEM (scanning electron microscope) 이미지이다.
도 7 은 기상 보수된 샘플, 초임계 CO2 보수된 샘플, 어떠한 보수도 없는 샘플 및 증착된 샘플에 대한 SIMS (secondary ion mass spectrometry) 분석으로부터 깊이의 함수로서의 탄소 대 실리콘 비율을 도시한다.
도 1 은 다마신 제조 프로세스의 일 예시적인 실시형태이다. 도 1a 는 상부 나노다공성 LKD 재료층 (110) 을 갖는 반도체 기판 (100) 을 도시한다. 마스크층 (120) 을 사용하여, 개구 (130) 가 나노다공성 LKD 재료 (110) 내에 형성된다. 설명의 목적으로, 수많은 비아 또는 트렌치 중 하나일 수 있는 오직 하나의 개구 (130) 만이 도시된다. 예를 들어, 마스크층 (120) 은 유기 포토레지스트일 수 있고, 개구 (130) 는 플라즈마 에칭에 의해 형성될 수 있다. 플라즈마 에칭의 완료시에, 마스크층 (120) 은 애싱 (즉, 산소계 플라즈마에서의 건식 에칭) 에 의해 제거될 수 있다.
도 1b 에서, 구리 상호연결부에 인접하는 나노다공성 LKD 재료 (110) 를 인접하는 유전체 재료로 확산하는 구리 원자에 의해 오염되는 것으로부터 보호하기 위해 개구 (130) 의 측벽 및 바닥 표면들 위에 배리어층 (140) 이 형성될 수 있다. 예시적인 배리어 재료는 티타늄 (Ti), 티타늄 나이트라이드 (TiN), 탄탈 (Ta), 탄탈 나이트라이드 (TaN), 루테늄 (Ru), 루테늄 산화물 (RuOx) 및 그 합금을 포함한다. 예를 들어, 배리어 재료는 물리적 기상 증착 (PVD), 원자층 증착 (ALD) 등에 의해 코팅될 수 있다.
도 1c 에서, 개구 (130) 는 벌크 구리층 (150) 으로 충진된다. 예를 들어, 벌크 구리층 (150) 은 시드층을 증착하고 그후 무전해 구리 도금에 의해 형성될 수 있다. 도 1d 에 도시된 바와 같이, 이 구조는 화학 기계적 평탄화 (CMP) 와 같은 임의의 적절한 기술에 의해 벌크 구리층 (150) 의 과잉 부분을 제거하도록 평탄화된다.
다마신 제조 프로세스는 단일 다마신 프로세스 또는 이중 다마신 프로세스 둘 중 하나일 수 있다. 이중 다마신 프로세스에서는, 비아-우선 형성형 (via-first approach) 또는 트렌치-우선 형성형 (trench-first approach) 둘 중 하나가 이용될 수 있다.
다마신 구조에서 나노다공성 LKD 재료의 성공적인 구현 이전에, 몇몇 장애물을 극복해야만 한다. 먼저, 에칭에 의한 개구 (130) (예를 들어, 비아 또는 트렌치) 형성 프로세스 및 애싱에 의한 마스크층 (120) 의 제거는 나노다공성 LKD 재료를 잠재적으로 손상시킬 수 있다. 둘째로, 배리어 재료 (140; 예를 들어, Ti, TiN, Ta, TaN, Ru 또는 RuOx) 는 나노다공성 LKD 재료 (110) 의 상호연결하는 기공으로 잠재적으로 관통하여, 낮은 유전체 값과 타협할 수 있다. 셋째로, 수많은 나노다공성 LKD 재료에서 상호연결하는 기공의 존재로 인해, 나노다공성 LKD 재료로 형성된 구조는 후속 프로세싱 단계 (예를 들어, CMP) 를 위한 기계적 강도가 부족할 수도 있다. 따라서, 손상된 나노다공성 LKD 재료를 보수하여, 개구 (130) 의 표면을 밀봉할 뿐만 아니라 LKD 재료의 기계적 강도를 강화해야하는 필요성이 존재한다.
플라즈마 에칭 및 애싱 도중에, 적어도 일부의 탄소 함유 부분 (즉, 메틸 또는 -CH3 기) 이 제거되어, 소수성이 감소된 나노다공성 LKD 재료를 초래할 수 있다. 나노다공성 LKD 재료로부터 탄소 함유 부분이 제거된 경우, Si-C 결합은 Si-OH ("실라놀") 결합 또는 기로 대체되고, 그 결과로 나타나는 유전체층은, 분위기로부터 또는 후속 습식 세정 단계로부터 물 분자가 실라놀기와의 강한 수소-결합 상호작용을 형성함에 따라 그 소수성을 잃는다. 약 70 의 k-값을 갖는 물의 존재는 나노다공성 LKD 재료의 k-값의 상당한 증가를 초래한다. 예를 들어, 나노다공성 LKD 재료에서의 개구 (예를 들어, 비아 또는 트렌치) 의 플라즈마 에칭 도중에, 플라즈마 손상은 개구의 측벽으로 약 30㎚ 의 깊이까지 연장할 수 있다. 플라즈마 손상된 나노다공성 LKD 재료로의 약 5㎚ 의 깊이에서, 메틸기 (-CH3) 의 약 25% 가 유실된다 (즉, Si-C 결합의 25% 가 Si-OH 결합 또는 기로 대체된다).
에칭 손상된 나노다공성 LKD 재료는 Si-OH 와 반응하는 알콕시실란제에 의해 처리될 수 있다. 그러나, 알콕시실란제를 사용하는데 있어서의 하나의 결점은 응축 단계에서 표면-결합 Si-OH 기와의 응축 이전에 알콕시기를 가수분해하는데 물이 요구된다는 것이다. 따라서, 알콕시실란제와 같은 화합물의 이용과 관련된 시도들 중 하나는 보수의 지속성이다. 이는, 알콕시실란과 Si-OH 기 사이의 화학적 반응에서 중요한 역할인 물 처리와 관련된다. 도 2 에 도시된 바와 같이, 가수분해 단계에서 Si-OH 와의 응축 이전에 알콕시기를 가수분해하기 위해서는 물이 필요하다.
대기중의 수분에 의해 동작되는, 표면상의 수소-결합된 물의 레벨을 다르게 하는 것은 반응 효율성에 직접적으로 영향을 미친다. 촉매를 사용하지 않고, 알콕시실란과 Si-OH 기 사이의 화학 반응은 약간의 물의 존재를 요구한다. 또한, 지속된 결과가 요구되는 경우, 샘플마다 수화 (hydration) 의 실질적으로 동일한 레벨이 유지되어야만 한다.
루이스 염기 아민 (예를 들어, 암모니아, 메틸 아민, 디메틸 아민 또는 트리메틸 아민) 이 촉매로서 사용되어 가수분해 단계 없이 알콕시실란과 Si-OH 기 사이의 응축 레이트를 강화할 수 있다. 도 3 에 도시된 바와 같이, 루이스 염기 아민은 Si-OH 기와 함께 촉매 매개체를 형성함으로써 촉매로서 기능한다. 수소 결합이 루이스 염기 아민과 Si-OH 기 사이에서 발현되기 때문에, 촉매 매개체가 형성된다. 이러한 수소 결합의 형성은 반응을 진척되게 하고, 알콕시실란제의 알콕시기와 Si-OH 기의 직접적인 반응을 허용한다. 촉매 매개체는 그 자체가 알콕시실란제와의 반응에 매우 영향을 받기 쉽다. 이 반응은 부산물로서 대응 알코올을 생성하고, 아민은 추가적인 Si-OH 기와의 수소 결합에 대해 다시 자유로워진다. 추가적으로, 알콕시실란 화합물은 3 차원 수평 네트워킹 구조를 형성하기 위해 수평으로 네트워킹되어, 나노다공성 LKD 재료의 기계적 강도를 복원할 수 있다.
또한, 유기산은 알콕시실란을 가수분해함으로써 촉매로서 사용되어 Si-OH 기를 형성할 수 있고, 이는 가열하에서 표면-결합 Si-OH 기와 응축한다. 추가적으로, 도 4 에 도시된 바와 같이, 유기산이 이용되어 보수된 및/또는 수평으로 네트워킹된 나노다공성 LKD 재료상에 남아있는 실질적으로 대부분의 잔여물 알콕시기와 물 사이의 반응을 촉진시킬 수 있다. 도 4 의 A) 에서, 유기산은 잔여물 알콕시기와 물 사이의 가수분해 반응을 촉진시켜서 도 4 의 B) 에 도시된 바와 같이 Si-OH 를 생성한다. 도 4 의 C) 에서, 인접하는 실란 화합물의 Si-OH 기가 그후 응축되어 수평 네트워크를 형성한다. 이 유기산은, 약 7 까지, 더욱 바람직하게는 약 4 까지, 가장 바람직하게는 약 3 까지의 pKa 를 갖는 임의의 유기산일 수 있다. 유기산은 아세트산, 트리플루오로아세트산, 트리클로로아세트산, 시트르산, 다른 전체 할로겐화된 또는 부분적으로 할로겐화된 약산 (weak acid), 및 그들의 다양한 조합을 포함할 수 있다.
이러한 루이스 염기 아민 및 유기산 촉매는, 본 명세서 전체에서 참조로서 통합된 공동-양도된 미국 특허 출원 제11/475,206호에 개시된 바와 같이, 초임계 CO2 (또는 SCCO2) 매질 내에서 주요한 가수분해 단계 없이 알콕시실란과 표면-결합된 Si-OH 기 사이의 응축 레이트를 활동적으로 강화하는 것으로 증명되었다.
기상 루이스 염기 아민 또는 유기산 촉매와 커플링된 기상 단일-작용성, 이-작용성 또는 삼-작용성 알콕시실란제를 이용하여 에칭 손상된 나노다공성 LKD 재료를 보수 및/또는 밀봉하는 신규의 방법이 본 명세서에 개시되어 있다. 일반적으로, 보수제 및 밀봉제는 Si(R)x(OR')y 로서 나타낼 수 있고, 여기서 y≥1, x+y=4, R 은 알킬기, 아릴기 또는 히드록실기이고, R' 는 알킬기 또는 아릴기, 바람직하게는 메틸 또는 에틸이다.
알콕시실란 보수제는, 상호연결된 다공성 네트워크를 통해서 나노다공성 LKD 재료의 벌크로 확산될 수 있고 실라놀기와 반응할 수 있는 약 80 내지 약 125 AMU (원자 질량 단위; atomic mass unit) 으로 더 소형화된 분자를 특징으로 할 수 있다. 보수의 완성시에, 유실된 메틸기의 50% 이상이 알킬기 (예를 들어, 에틸기, 프로필기, 부틸기) 로 보충되고, 바람직하게는 메틸기의 약 75% 내지 약 95% 가 보충된다.
보수제의 예는 메틸 트리메톡시실란(CH3-Si-(O-CH3)3), 디메톡시 디메틸실란 ((CH3)2-Si-(OCH3)2), 메톡시 트리메틸실란((CH3)3-Si-OCH3) 또는 n-프로필 트리메톡시실란(CH3-CH2-CH2-Si-(OCH3)3 또는 n-PTMS) 를 포함할 수 있다.
알콕시실란 밀봉제는 약 200 내지 약 400 AMU 의 더 대형화된 분자를 특징으로 할 수 있다. 나노다공성 LKD 재료의 기공 밀봉은 크기 배제의 원칙으로 동작하고, 여기서 더 큰 크기의 알콕시실란 밀봉제는 나노다공성 LKD 재료의 기공 개구를 통해서 다공성 네트워크로 통과하기 보다는 표면-결합된 Si-OH 기와 반응성 충돌한다. 알콕시실란 밀봉제는 약 3 내지 4㎚ 미만의 깊이, 바람직하게는 약 1 내지 2㎚ 의 깊이에서 표면 실라놀기와 반응한다. 밀봉 프로세스의 완성시에, 개구의 측벽 표면 및 바닥 표면이 약 2㎚ 까지의 두께를 갖는 필름으로 커버된다. 이 필름은 나노다공성 LKD 재료의 상호연결된 기공으로의 상부 배리어 재료의 확산을 방지하는데 효과적이지만, 기상 촉매는 그 더 작은 분자 크기로 인해 나노 다공성 LKD 재료로의 확산을 계속할 수 있다는 것에 유의해야 한다.
밀봉제의 예는 비스(디메톡시메틸)실록산, 비스(메틸디메틸옥시실릴프로필)-N-메틸아민, 비스(트리에톡시실릴)에탄, 1,2-비스(트리메톡시실릴)헥산, 비스(트리메톡시실릴프로필)아민 또는 비스(3-(트리에톡시실릴)프로필)디술피드를 포함할 수 있다.
도 5a 에 도시된 바와 같이, 나노다공성 LKD 재료 (110) 를 갖는 반도체 기판 (100) 은 에칭 및/또는 포토레지스트 애싱으로부터 초래된 손실의 처리를 위해 프로세스 챔버 (200) 내에 위치된다. 프로세스 챔버 (200) 는 약 1mTorr 내지 약 3750Torr (즉, 약 5bars) 의 압력 및 약 300℃ 까지의 온도에서 동작가능할 수 있다.
나노다공성 LKD 재료 (110) 는 사전에 에칭된 개구 (130) (예를 들어, 트렌치 또는 비아) 를 포함한다. 상부 마스크층의 사전 에칭 및/또는 제거로 인해, 유전체층 (110) 의 노출된 표면이 에칭 손상된다. 도 5a 에는 도시되지 않지만, 나노다공성 LKD 재료 (110) 는 배리어층 및 도전성 또는 반도전성 층과 같은 중간층 위에 놓여있을 수 있다.
나노다공성 LKD 재료의 예는, NANOGLASS
Figure pct00001
및 HOSP
Figure pct00002
(Honeywell International 제조), BLACK DIAMOND
Figure pct00003
(APPLIED MATERIALS 제조) 와 같은 탄소-도핑된 산화물, CORAL
Figure pct00004
(NOVELLUS 제조), AURORA
Figure pct00005
(ASM 제조), ORION
Figure pct00006
(Trikon 제조), LKD-5109 (JSR 제조), 및 Nano-Clustered Silica 또는 NCS (FUJITSU 제조) 를 포함하지만 이에 한정하지 않는다. 수많은 나노다공성 LKD 재료의 일 특징은 약 2 나노미터의 평균 기공 크기를 갖는 좁은 기공 크기 분포를 갖는 상호연결된 기공 구조가 존재한다는 것이다.
기판 지지체 (210) 상에 반도체 기판 (100) 이 위치될 수 있다. 기판 지지체 (210) 는 정전 척 (ESC), 기계적 클램프 또는 다른 클램핑 메커니즘 및 300℃ 의 온도까지 반도체 기판 (100) 을 가열하기 위한 하나 이상의 가열 엘리먼트를 포함할 수 있다.
화학적 증기 공급 시스템 (220A, 220B, 220C) 은 촉매, 알콕시실란 보수제 및 알콕시실란 밀봉제를 각각 프로세스 챔버 (200) 로 연속해서 공급하는데 사용될 수 있다. 진공 펌프 (240) 는, 촉매, 알콕시실란 보수제 또는 알콕시실란 밀봉제로의 처리가 완성될 때 프로세스 챔버 (200) 로부터 가스를 배기시키는데 사용될 수 있다. 정적 가스 압력은, 배압 조절기에 의해 프로세스 챔버 (200) 내에서 유지되어, 정적 가스 압력이 미리-선택된 압력을 초과할 때 화학 저감 시스템 (chemical abatement system) 으로 기상이 릴리즈되는 것으로 기능한다.
도 5b 는 액제 (예를 들어, 촉매, 알콕시실란 보수제 또는 알콕시실란 밀봉제) 에 대한 화학적 증기 공급 시스템 (220) 의 일 실시형태를 도시한다. 에이전트 소스 (224; agent source) 로부터의 액제 (222) 는 액제 (222) 를 기화하기 위해 상승된 온도까지 가열되는 버블러 (226) 내에 위치될 수 있다. 가스 소스 (228) 로부터의 불활성 가스 (예를 들어, 질소 또는 아르곤) 는 액제 (222) 를 통해서 거품을 일게 하여 기화제 (vaporized agent) 를 가스 라인 (230) 을 통해서 프로세스 챔버 (200) 로 공급한다. 가스 라인 (230) 은 가스 라인 (230) 내의 기화제의 응축을 방지하기 위해 가열될 수 있다.
반도체 기판 (100) 은 임의의 보수 및/또는 밀봉 프로세스 이전에 기상 촉매와 접촉하여 위치된다. 전술한 바와 같이, 루이스 염기 아민 또는 유기산 촉매는 루이스 염기 아민 촉매의 경우 주요 가수분해 단계 없이 그리고 유기산의 경우 가수분해를 가속화함으로써 알콕시실란과 Si-OH 기 사이의 응축 레이트를 활동적으로 강화시키도록 기능한다. 프로세스 챔버 (200) 와 기판 지지체 (210) 의 온도는 약 60℃ 내지 약 275℃, 바람직하게는 약 100℃ 내지 약 200℃ 의 제 1 온도까지 가열될 수 있다. 임의의 기상 에이전트의 응축을 방지하기 위해, 반도체 기판 (100) 의 온도는 전체 프로세스에 걸친 기상 에이전트의 온도보다 더 높은 온도로 유지되는 것이 바람직하다.
초기에, 프로세스 챔버 (200) 의 압력은 촉매의 기화를 용이하게 하기 위해 진공 압력 (예를 들어, 약 0.5Torr 내지 약 10Torr) 으로 유지된다. 화학 증기 공급 시스템 (220A) 은 기상 촉매를 프로세스 챔버 (200) 로 공급하여 반도체 기판 (100) 을 처리한다. 반도체 기판 (100) 은, 에칭 손상된 영역의 실라놀기와 상호작용하는데 효과적인 양의 기상 촉매와 접촉하여 위치된다. 예를 들어, 기상 촉매는, 나노다공성 LKD 재료 (110) 내에서 상호연결된 기공의 내부 표면상에 그리고 개구 (130) 의 외부 표면 (즉, 측벽 표면) 상에 촉매의 서브-단층 또는 단층을 형성할 수 있다. 일 실시형태에서, 플라즈마 손상된 영역은 개구 (130) 의 측벽으로 약 30㎚ 까지의 깊이로 연장할 수 있다.
일 실시형태에서, 촉매는 프로세스 챔버 (200) 로 공급되어 프로세스 챔버 (200) 내의 기상 촉매의 정적 압력을 약 20Torr 에서 약 1500Torr (즉, 약 2bars) 로, 바람직하게는 약 100Torr 내지 약 760Torr 로 증가시킨다. 프로세스 챔버 (200) 의 체적은 약 1.1 리터이다. 기상 촉매의 접촉 시간은 약 10초 내지 약 60초, 바람직하게는 약 20 초 내지 약 30 초일 수 있다. 기상 촉매에 의한 처리가 완성된 이후에, 약 5Torr 미만의 압력이 달성될 때까지, 잔류하는 기상 촉매가 불활성 가스의 동적 흐름 또는 진공 펌프 (240) 둘 중 하나에 의해 프로세스 챔버 (200) 로부터 제거된다. 다른 실시형태에서, 진공 펌프 (240) 및/또는 배압 조절기 (250) 의 동시 동작 도중에 기상 촉매를 프로세스 챔버로 흐르게 함으로써 동적 진공이 유지될 수 있다.
예시적인 루이스 염기 아민은, 암모니아 (NH3), 메틸 아민 (CH3NH2), 디메틸 아민 ((CH3)2NH) 또는 트리메틸 아민 (N(CH3)3) 또는 대응하는 제 1, 제 2, 또는 제 3 차 에틸 치환된 아민을 포함할 수 있다. 예시적인 유기산은 아세트산, 트리플루오로아세트산, 트리클로로아세트산, 시트르산, 다른 전체 할로겐화된 또는 부분적으로 할로겐화된 약산을 포함할 수 있다. 일 실시형태에서, 유기산은 물과 혼합되어, 기화되는 수성 용액 (aqueous solution) 을 형성할 수 있다. 특정 루이스 염기 아민 또는 유기산에 따라, 루이스 염기 아민 촉매 또는 유기산의 응축을 방지하기 위한 프로세스 챔버 (200) 및 기판 지지체 (210) 의 온도 및 정적 압력이 선택된다. 유기산 촉매가 사용되는 경우, 표면-결합된 Si-OH 기와의 알콕시실란 반응을 최대화하기 위해, 과잉 기상 유기산이 알콕시실란 보수제 및/또는 밀봉제의 도입 이전에 배기되어 기상에서의 유기산과 알콕시실란 사이의 반응을 방지하는 것이 바람직하다.
기상 촉매에 의한 처리가 완성된 후에, 프로세스 챔버 (200) 의 압력은 진공 압력 (예를 들어, 약 0.5Torr 내지 약 10Torr) 으로 감소되어 알콕시실란 보수제의 기화를 용이하게 한다. 프로세스 챔버 (200) 는, 진공 압력으로까지 배기되기 전에 불활성 가스 (예를 들어, 질소 또는 아르곤) 로 선택적으로 백필링 (backfill) 된다. 프로세스 챔버 (200) 및 기판 지지체 (210) 의 온도는 약 60℃ 내지 약 275℃, 바람직하게는 약 100℃ 내지 약 200℃ 의 제 1 온도로 유지된다. 화학 증기 공급 시스템 (220B) 은 반도체 기판 (100) 을 처리하기 위해 알콕시실란 보수제를 프로세스 챔버 (200) 로 공급한다. 반도체 기판 (100) 은, 알킬기 (예를 들어, 에틸기, 프로필기, 부틸기) 로 유실된 메틸기의 적어도 50%, 바람직하게는 유실된 메틸기의 약 75% 내지 약 90% 를 복원하는데 효과적인 양의 알콕시실란 보수제와 접촉되어 위치된다.
일 실시형태에서, 프로세스 챔버 (200) 내의 보수제의 정적 압력이 약 20Torr 내지 약 1500Torr (즉, 약 2bars), 바람직하게는 약 100Torr 내지 약 760Torr 이 되도록, 고정된 양의 알콕시실란 보수제가 공급된다. 제 1 온도에서 기상 알콕시실란 보수제와 반도체 기판 (100) 의 전체 접촉 시간은 약 10 초 내지 약 180초, 바람직하게는 약 20초 내지 약 60초일 수 있다. 다른 실시형태에서, 진공 펌프 (240) 및/또는 배압 조절기 (250) 의 동시 동작 도중에 기상 보수제를 프로세싱 챔버 (200) 로 흐르게 함으로써 동적 진공이 유지될 수 있다.
나노다공성 LKD 재료 (110) 의 벌크에서 실라놀기와 알콕시실란 보수제의 반응성을 증가시키기 위해, 제 1 온도의 알콕시실란 보수제와의 접촉이 완료된 후, 나노다공성 LKD 재료 (110) 는 제 2 온도에서의 알콕시실란 보수제와 접촉되어 있는 것이 바람직하다. 웨이퍼 지지체 (210) 의 온도는, 제 1 온도에서 약 80℃ 내지 약 300℃, 바람직하게는 약 150℃ 내지 약 250℃ 의 제 2 온도로 증가될 수 있다. 제 2 온도에서의 기상 알콕시실란 보수제와 반도체 기판 (100) 의 전체 접촉 시간은 약 10초 내지 약 180초, 바람직하게는 약 20초 내지 약 60초일 수 있다.
예시적인 알콕시실란 보수제는 메틸 트리메톡시실란 (CH3-Si-(O-CH3)3), 디메톡시 디메틸실란 ((CH3)2-Si-(OCH3)2), 메톡시 트리메틸실란 ((CH3)3-Si-OCH3) 또는 n-프로필 트리메톡시실란 (CH3-CH2-CH2-Si-(OCH3)3 또는 n-PTMS) 를 포함할 수 있다. 특정 알콕시실란 보수제에 따라, 프로세스 챔버 (200) 및 기판 지지체 (210) 의 온도 및 정적 압력은 알콕시실란 보수제의 응축을 방지하도록 선택된다. LKD 재료의 표면상에서의 알콕시실란 보수제의 응축은 해롭고, 나노다공성 LKD 재료로의 알콕시실란 보수제의 균일한 침투를 방해할 수도 있다. 이 보수제가 기상 상태가 되도록 보증하기 위해, 나노다공성 LKD 재료는 포화점 (즉, 주어진 온도에서의 기상 압력이 760Torr 미만임) 미만의 에이전트와 접촉되어 위치된다. 이러한 조건 하에서, 나노다공성 LKD 재료상에 어떠한 포화 (액제의 형성) 도 발생할 수 없다.
반도체 기판 (100) 으로부터 과잉 에이전트 및 반응 부산물을 제거하기 위해, 진공 챔버의 압력은 약 30 초 내지 약 180 초 동안 약 0.5Torr 로 감소된다. 대안적으로, 챔버 내의 압력은 정적 레벨에서 유지될 수 있고, 불활성 플러시 가스의 동적 흐름이 잔여물 에이전트를 제거하도록 사용될 수 있다.
필요한 경우, 기상 촉매와 기상 알콕시실란 보수제를 나노다공성 LKD 재료와 접촉시키기 위한 전술한 프로세스 단계는 제 2 온도로 동일한 반도체 기판 (100) 상에서 1 회 이상 반복되어 알콕시실란 보수제의 반응성을 증가시킬 수 있다. 예를 들어, 기상 촉매 및 알콕시실란 보수제를 나노다공성 LKD 재료와 접촉하는 것은 10 회까지 반복될 수 있다.
기상 보수제에 의한 처리가 완료되면, LKD 재료 (110) 의 표면은 알콕시실란 밀봉제와 반도체 기판 (100) 을 접촉시킴으로써 밀봉될 수 있다. 전술한 바와 같이, 이 밀봉 단계는, 나노다공성 LKD 재료의 상호연결된 기공내로의 상부 배리어 재료의 확산을 방지하는데 효과적인 약 2㎚ 까지의 두께를 갖는 알콕시실란 필름으로 개구의 측벽 표면 및 바닥 표면을 커버한다. 선택적으로, LKD 재료 (110) 는 밀봉 프로세스 이전에 기상 촉매와 접촉하여 위치될 수 있다.
밀봉의 프로세스는 기상 촉매에 의한 처리를 포함하는 전술된 보수 프로세스와 동일하다. 그러나, 알콕시실란 밀봉제가 알콕시실란 보수제 대신에 사용된다. 예시적인 알콕시실란 밀봉제는, 비스(디메톡시메틸)실록산, 비스(메틸디메톡시실릴프로필)-N-메틸아민, 비스(트리에톡시실릴)에탄, 1,2-비스(트리메톡시실릴)헥산, 비스(트리메톡시실릴프로필)아민 또는 비스(3-트리에톡시실릴)프로필)디술피드를 포함할 수 있다.
LKD 재료 (110) 의 표면은 초기 보수 단계 없이 알콕시실란 밀봉제와 표면을 접촉시킴으로써 밀봉될 수 있고, 개구 (130) 의 측벽 및 바닥에 대한 플라즈마 손상이 최소인 경우에는 보수는 요구되지 않는다. 예를 들어, 반도체 기판 (100) 및 LKD 재료 (110) 는 밀봉 프로세스 이전에 기상 촉매와 접촉하여 위치될 것이다.
요구되는 경우, 보수 프로세스 및/또는 밀봉 프로세스가 완성된 후에, 알콕시실란 화합물은 수평으로 네트워킹되어 3 차원 수평 네트워킹된 구조를 형성하고, 나노다공성 LKD 재료의 기계적 강도를 복원할 수 있다. 도 4 에 도시된 바와 같이, 이 수평 네트워킹 단계는 전술한 유기산 (예를 들어, 아세트산, 트리플루오로아세트산, 트리클로로아세트산, 시트르산) 에 의해 LKD 재료를 처리함으로써 수행되어 물과 잔여물 알콕시기 사이의 가수분해 반응을 촉진시킬 수 있다. 바람직한 실시형태에서, 유기산 증기는 전술한 온도 범위, 압력 범위 및 시간 범위에 의해 화학적 증기 공급 시스템 (220) 을 사용하여 프로세스 챔버 (200) 에 공급될 수 있다. LKD 재료는 알콕시실란 보수제 및/또는 밀봉제를 수평으로 네트워킹하기에 효과적인 양의 기상 촉매와 접촉되어 위치된다.
유기산 증기에 의한 처리가 완성된 후, 반도체 기판 (100) 의 온도는 약 60 초 내지 약 360 초 동안 약 200℃ 내지 약 300℃ 로 증가된다. 이 가열 단계는 인접하는 알콕시실란 분자들 사이에서 응축 반응을 용이하게 하여 3 차원 수평 네트워킹된 구조를 형성하고, 나노다공성 LKD 재료의 기계적 강도를 복원한다. 이 가열 단계는 프로세스 챔버 (200) 내부에서 발생할 수 있고, 또는 반도체 기판 (100) 이 제거되고 핫 플레이트 상에서 가열될 수 있다.
도 1b 내지 도 1d 에서 도시된 바와 같이, 기상 보수 및 기상 밀봉의 완성시에, 반도체 기판 (100) 에는 개구 (130) 내의 배리어층 (140) 증착, 개구 (130) 내의 벌크 금속층 (150) 의 형성 및 전체 구조의 평탄화를 포함하는 후속 다마신 프로세싱 단계가 수행될 수 있다. 나노다공성 LKD 재료 (110) 내에서의 개구 (130) 의 측벽 표면 및 바닥 표면의 기상 밀봉은 배리어층 (140) (예를 들어, Ti, TiN, Ta, TaN, Ru 또는 RuOx) 을 형성하는데 사용된 재료가 나노다공성 LKD 재료 (110) 의 상호연결된 기공으로 침투하는 것을 방지한다. 나노다공성 LKD 재료층 (110) 의 기상 보수 및 밀봉은 CMP 를 포함하는 추가적인 기계적 프로세싱 단계들을 견딜 수 있는 더욱 강건한 구조를 생성한다.
실시예 1
예시적인 실시형태에서, 에칭 손상된 나노다공성 LKD 재료 (110) 를 갖는 반도체 기판 (100) 은 보수제로서의 기상 메틸 트리메톡시실란 (CH3-Si-(O-CH3)3) 및 촉매로서의 기상 디에틸 아민 ((CH3)2NH) 을 사용하여 보수된다.
에칭 손상된 로우-k 유전체 층 (110) 을 갖는 반도체 기판 (100) 은 프로세스 챔버 (200) 의 기판 지지체 (210) 상에 위치된다. 프로세스 챔버 (200) 는 50Torr 미만의 압력까지 배기되고, 기판 지지체 (210) 및 프로세스 챔버 (200) 는 약 100℃ 의 제 1 온도까지 가열된다. 디에틸 아민 증기는, 화학 증기 공급 시스템 (220A) 으로부터 700Torr 의 정적 압력으로 프로세스 챔버 (200) 에 공급된다. 반도체 기판 (100) 은 약 20 초 동안 디에틸 아민 증기와 접촉하여 위치된다.
디에틸 아민 증기 처리가 완성된 후, 증기는 동적 진공 하에서 진공 펌프 (240) 를 통해서 배기되어 프로세스 챔버 (200) 의 압력을 약 5Torr 이하까지 감소시키고, 잔류물 아민을 제거하고 그리고 주변 컨디션 하에서 액체인 메틸 트리메톡시실란의 기화를 용이하게 한다.
400Torr 의 정적 압력이 달성될 때까지, 메틸 트리메톡시실란 증기 (CH3-Si-(O-CH3)3) 가 화학 증기 공급 시스템 (220B) 으로부터 프로세스 챔버 (200) 로 흐른다. 반도체 기판 (100) 은 약 100℃ 의 제 1 온도에서 약 20 초 동안 기상 메틸 트리메톡시실란과 접촉하여 위치된다.
기판 지지체 (210) 의 온도는 약 100℃ 의 제 1 온도에서 약 150℃ 의 제 2 온도로 증가되고, 반도체 기판 (100) 은 추가적인 30 초 동안 기상 메틸 트리메톡시실란과 접촉하여 위치된다.
메틸 트리메톡시실란 기상 처리가 완성된 후, 증기는 동적 진공 하에서 진공 펌프 (240) 를 통해서 배기되어 프로세스 챔버 (200) 의 압력을 약 60 초 동안 약 0.5Torr 이하로 감소시키고 과잉 메틸 트리메톡시실란 증기와 반응 부산물을 제거한다.
실시예 2
다른 실시형태에서, 에칭 손상된 나노다공성 LKD 재료 (110) 를 갖는 반도체 기판 (100) 은 촉매로서의 기상 메틸 아민 (CH3NH2) 과 함께 밀봉제로서의 기상 비스(3-메틸디메톡시실릴-프로필)-N-메틸아민을 사용하여 밀봉된다.
에칭 손상된 로우-k 유전체 층 (110) 을 갖는 반도체 기판 (100) 은 프로세스 챔버 (200) 의 기판 지지체 (210) 상에 위치된다. 프로세스 챔버 (200) 는 50Torr 미만의 압력까지 배기되고, 기판 지지체 (210) 및 프로세스 챔버 (200) 는 약 150℃ 의 제 1 온도까지 가열된다. 메틸 아민 증기는 화학 증기 공급 시스템 (220A) 으로부터 1800Torr 의 정적 압력까지 프로세스 챔버 (200) 로 공급된다. 반도체 기판 (100) 은 약 60초 동안 메틸 아민 증기와 접촉되어 위치된다.
메틸 아민 증기 처리가 완성된 후, 증기는 동적 진공 하에서 진공 펌프 (240) 에 의해 배기되어 프로세스 챔버 (200) 의 압력을 약 300Torr 이하까지 감소시킨다.
비스(3-메틸디메톡시실릴-프로필)-N-메틸아민의 흐름은, 캐리어 가스로서 대략 3000sccm 의 건조 질소에 의해 화학 증기 공급 시스템 (220C) 으로부터 프로세스 챔버 (200) 로 도입된다. 압력은 진공 펌프 (240) 의 동시 동작에 의해 400Torr 까지 유지된다. 반도체 기판 (100) 은 약 150℃ 에서 약 180 초 동안 비스(3-메틸디메톡시실릴-프로필)-N-메틸아민의 흐름과 접촉하여 위치된다.
비스(3-메틸디메톡시실릴-프로필)-N-메틸아민 증기 처리가 완성된 후, 동적 진공 하에서 증기 펌프 (240) 에 의해 증기가 배기되어 프로세스 챔버 (200) 의 압력을 약 60 초 동안 약 2Torr 이하까지 감소시킨다.
실시예 3
상부 나노다공성 LKD 재료를 갖는 실리콘 기판 샘플 (JSR LKD-5109, JSR Corporation 제조) 이 제조되었다. 샘플은 포토레지스트에 의해 코팅되고, 패터닝되고, 반응성 이온 에칭 (RIE) 이 수행되어 트렌치를 형성하였고, 그후, 산소 애싱이 후속되어 포토레지스트를 제거하였다. RIE 및 포토레지스트 제거는 패터닝된 영역 내의 에칭된 개구를 둘러싸는 플라즈마 손상된 영역을 초래한다.
플라즈마 손상된 JSR LKD-5109 층을 갖는 제 1 샘플은 기상 n-프로필 트리메톡시실란 (n-PTMS) 보수제와 접촉하기 전에 기상 트리플루오로아세트산 촉매와 접촉하여 위치되었다. 플라즈마 손상된 JSR LKD-5109 층을 갖는 제 2 샘플은 초임계 CO2(SCCO2) 내에서 용해된 트리플루오로아세트산 촉매 및 n-PTMS 보수제로 처리되었다. 제 3 샘플은 보수되지 않은 플라즈마 손상된 JSR LKD-5109 층을 갖는 대조 샘플이었다. 제 4 샘플은 증착된 JSR LKD-5109 층 (즉, 플라즈마 에칭이 수행되지 않은 층) 을 갖는 다른 대조 샘플이다. 샘플들은 SEM (scanning electron microscopy) 에 의해 분석되어 에칭된 트렌치의 측벽을 따라서 보수의 정도를 특징화하고, SIMS (secondary ion mass spectrometry) 에 의해 분석되어 약 150㎚ 의 깊이까지 JSR LKD-5109 층의 표면 상부의 탄소 대 실리콘의 비율을 특징화하였다.
플라즈마 에칭 손상된 JSR LKD-5109 층을 포함하는 제 1 샘플은 프로세스 챔버 (200) 의 기판 지지체 (210) 상에 위치되었다. 프로세스 챔버 (200) 는 50Torr 미만의 압력까지 배기되었고, 기판 지지체 (210) 및 프로세스 챔버 (200) 는 약 135℃ 의 제 1 온도까지 가열되었다. 트리플루오로아세트산 대 물의 3:1 혼합물은 기화되었고 화학 증기 공급 시스템 (220A) 으로부터 900Torr 의 압력으로 프로세스 챔버 (200) 에 도입된다. 프로세스 챔버 (200) 가 질소로 백필링되고 배기되기 전에, 제 1 샘플은 약 120 초 동안 트리플루오로아세트산 증기와 접촉하여 위치되었다. 기상 n-PTMS 보수제는 화학 증기 공급 시스템 (220B) 에 의해 프로세스 챔버 (200) 에 공급되어 약 1000Torr 의 정적 압력에서 약 5 분 동안 제 2 샘플을 처리하였다. 프로세스 챔버 (200) 는 질소 가스로 백필링되었고, 제 1 샘플은 약 2 분 동안 135℃ 의 온도로 유지되었다. 그후, 제 1 샘플은 프로세스 챔버로부터 제거되었고, 약 250℃ 에서 약 120 초 동안 핫 플레이트 상에서 가열되었다.
제 2 샘플은, 후속하여 밀봉되고 초임계 탄소 이산화물 (SCCO2) 에 의해 약 97,500Torr (약 130 bar) 로 가압된, 가열된 압력 용기 (즉, 약 140℃) 내에 위치되었다. 그 용기 내부로 추가적인 SCCO2 를 펌핑하고, 용기 내부로 촉매를 플러싱함으로써 고압 주입 루프를 사용하여 트리플루오로아세트산 대 물의 3:1 혼합물이 첨가되었다. 첨가의 완료 이후에, 촉매의 농도는 대략 1 체적% 였고, 결과 압력은 약 140℃ 에서 약 150,000Torr (약 200bar) 였다. 약 60 초의 주기 이후에, SCCO2 용액 함유 산 촉매는 순수 SCCO2 의 첨가에 의해 챔버로부터 플러싱되었다. 이 플러싱은, 약 2 분의 주기에 걸쳐 대략 6 개의 유체 턴오버들 (즉, 용기의 체적과 동일하도록 요구된 시간의 주기 동안 흐름의 양) 을 초래한다. 플러싱 후, 용기 내의 압력은 SCCO2 의 제거에 의해 약 140℃ 에서 약 97,500Torr(약 130bar) 까지 감소되었다. 그후, n-프로필 트리메톡시실란 (n-PTMS) 보수제는, 용기 내부로 추가적인 SCCO2 를 펌핑함으로써 고압 주입 루프를 사용하여 용기로 첨가되었다. 보수제의 농도는 대략 2체적% 이었고, 용기 내의 최종 압력은 140℃ 에서 약 150,000Torr (약 200 bar) 였다. 5 분 주기 이후에, 용기는 일 주기 동안 일 흐름 레이트로 순수 SCCO2 에 의해 플러싱되어 약 2 분의 주기 동안 8 개의 유체 턴오버를 허용하였다. 용기내의 압력은 대기압으로 감소되었고, 제 2 샘플은 제거되었다.
제 1 샘플 (즉, 보수된 n-PTMS 기상), 제 2 샘플 (즉, 보수된 n-PTMS SCCO2) 및 제 3 샘플 (즉, 보수되지 않음) 은 SEM 에 의해 특징화되었다. 각각의 샘플은 약 60 초 동안 1% HF 용액에서 에칭되고 탈이온수에서 린싱되었다. 1% HF 용액이 나노다공상 LKD 재료로부터 Si-OH 기를 우선적으로 에칭하기 때문에, 보수의 정도는 트렌치의 측벽을 따른 언더컷팅의 깊이에 의해 정량화될 수 있다. 도 6a 는, 트렌치의 측벽으로 약 11㎚ 의 깊이에서 언더컷팅이 측정된 제 1 샘플 (즉, 보수된 n-PTMS 기상) 의 SEM 이미지를 도시한다. 도 6b 는, 트렌치의 측벽으로 약 11㎚ 의 깊이에서 언더컷팅이 측정된 제 2 샘플 (즉, 보수된 n-PTMS SCCO2) 의 SEM 이미지를 도시한다. 도 6c 는, 트렌치의 측벽으로 약 43㎚ 의 깊이에서 언더컷팅이 측정된 제 3 샘플 (즉, 보수되지 않음) 의 SEM 이미지를 도시한다. 따라서, 도 6b 및 도 6c 는, 기상 보수가 에칭 손상된 나노다공성 LKD 재료를 보수할 때의 SCCO2 만큼 효과적이라는 것을 나타낸다.
제 1 샘플 (즉, 보수된 n-PTMS 기상), 제 2 샘플 (즉, 보수된 n-PTMS SCCO2), 제 3 샘플 (즉, 보수되지 않음) 및 제 4 샘플 (즉, 증착된 JSR LKD-5109) 는, 각각의 샘플의 표면이 스퍼터링되고 이 스퍼터링 도중에 형성된 보조 이온은 질량 분석기에 의해 분석된, 깊이의 함수로서 탄소 대 실리콘의 비율을 결정하기 위해 SIMS 에 의해 특징화된다.
도 7 에 도시된 바와 같이, 제 4 샘플 (도 7 에서 꽉 찬 정사각형), 즉, 증착된 JSR LKD-5109 의 탄소 대 실리콘 비율은 약 0.55 였다. 제 3 샘플 (도 7 에서 텅 빈 정사각형) 에 대해서는, 트렌치의 에칭 및 포토레지스트 제거가 완성된 이후에, 탄소 대 실리콘 비율은 약 0.45 내지 약 0.55 까지 감소되었다. 그러나, 제 2 샘플 (도 7 에서 텅 빈 원) 에 대해서는, 탄소 대 실리콘 비율은 증착된 JSR LKD-5109 의 탄소 대 실리콘 비율 보다 높은 약 0.6 내지 0.8 로 증가되었다. 이는, 보수 프로세스가 유실된 메틸기 (-CH3) 를 프로필기 (-C3H7) 로 대체하여 이에 따라 손상된 영역에서 탄소 대 실리콘 비율을 증가시키기 때문이다. 제 1 샘플 (도 7 에서 꽉 찬 원) 에 대해서는, 약 1.45 까지의 탄소 대 실리콘 비율의 상당한 증가가 있다. 이는, 기상 보수가 다공성 표면의 밀봉 및/또는 n-PTMS 를 더욱 효과적으로 강화하는 가능성의 표시이다.
본 발명은 특정 실시형태를 참조하여 상세하게 설명되지만, 첨부된 청구항의 범위를 벗어나지 않고 다양한 변화 및 변형이 이루어질 수 있고 등가물이 사용될 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (20)

  1. 반도체 기판 상에 형성된 나노다공성 로우-k 유전체 재료를 처리하는 방법으로서,
    상기 로우-k 유전체 재료는 에칭된 개구를 갖고, 상기 에칭된 개구는 상기 에칭된 개구의 외부 표면상에 그리고 상호연결된 기공의 내부 표면상에 실라놀기를 함유하는 에칭 손상된 영역을 가지며,
    (a) 촉매 매개체를 형성하기 위해, 상기 에칭 손상된 영역의 상기 실라놀기와 기상 촉매 사이의 수소 결합을 형성하는데 효과적인 양의 상기 기상 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계, 이후에
    (b) 기상 알콕시실란 보수제가 상기 촉매 매개체와 반응하도록, 상기 에칭 손상된 영역의 상기 실라놀기의 약 50% 이상과 반응하는데 효과적인 양의 상기 기상 알콕시실란 보수제와 상기 로우-k 유전체 재료를 접촉시키는 단계; 및/또는
    (c) 기상 알콕시실란 밀봉제가 상기 촉매 매개체와 반응하도록, 상기 상호연결된 기공으로 상부 배리어층의 확산을 방지하기에 효과적인 양의 상기 기상 알콕시실란 밀봉제와 상기 로우-k 유전체 재료를 접촉시키는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  2. 제 1 항에 있어서,
    (i) 상기 기상 촉매는 루이스 염기 아민 (Lewis base amine) 또는 유기산이고; (ii) 상기 단계 (a) 및 상기 단계 (b) 는 상기 단계 (c) 이전에 10 회까지 반복되고; (iii) 상기 기상 알콕시실란 밀봉제는 상기 에칭된 개구의 외부 표면 상에 두께 2㎚ 까지의 알콕시실란 필름을 형성하고; 및/또는 상기 기상 알콕시실란 보수제는 약 80 내지 약 125 의 원자 질량 단위를 갖고, 상기 기상 알콕시실란 밀봉제는 약 200 내지 약 400 의 원자 질량 단위를 갖는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  3. 제 1 항에 있어서,
    상기 단계 (c) 이전에 상기 단계 (a) 를 반복하는 단계를 더 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  4. 제 2 항에 있어서,
    상기 루이스 염기 아민은 암모니아 (NH3), 메틸 아민 (CH3NH2), 디메틸 아민 ((CH3)2NH) 또는 트리메틸 아민 (N(CH3)3) 이고; 또는 상기 유기산은 아세트산, 트리플루오로아세트산, 트리클로로아세트산 또는 시트르산의 수성 용액인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  5. 제 2 항에 있어서,
    상기 단계 (a) 이후 그리고 상기 단계 (b) 이전에,
    (i) 상기 유기산 기상 촉매와 (ii) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제 사이의 기상 반응을 방지하기 위해, 상기 로우-k 유전체 재료로부터 상기 유기산 기상 촉매를 제거하는 단계를 더 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  6. 제 5 항에 있어서,
    상기 기상 알콕시실란 보수제는 메틸 트리메톡시실란 (CH3-Si-(O-CH3)3), 디메톡시 디메틸실란 ((CH3)2-Si-(OCH3)2), 메톡시 트리메틸실란 ((CH3)3-Si-OCH3) 또는 n-프로필 트리메톡시실란 (CH3-CH2-CH2-Si-(OCH3)3) 이고;
    상기 기상 알콕시실란 밀봉제는 비스(디메톡시메틸)실록산, 비스(메틸디메톡시실릴프로필)-N-메틸아민, 비스(트리에톡시실릴)에탄, 1,2-비스(트리메톡시실릴)헥산, 비스(트리메톡시실릴프로필)아민 또는 비스(3-(트리에톡시실릴)프로필)디술피드인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  7. 제 1 항에 있어서,
    상기 기상 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계 (a) 는:
    프로세싱 챔버 내에 상기 반도체 기판을 위치시키고 상기 프로세싱 챔버를 배기시키는 단계;
    약 60℃ 내지 약 275℃ 의 제 1 온도로 상기 반도체 기판을 가열하는 단계;
    약 10 초 내지 약 60 초의 시간 동안 약 20Torr 내지 약 1500Torr 의 압력에서 상기 프로세싱 챔버에 상기 기상 촉매를 도입하는 단계; 및
    상기 프로세싱 챔버를 배기시키는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  8. 제 7 항에 있어서,
    상기 제 1 온도는 약 100℃ 내지 약 200℃ 이고,
    상기 압력은 약 100Torr 내지 약 760Torr 이고,
    상기 시간은 약 20 초 내지 약 30 초인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  9. 제 7 항에 있어서,
    상기 기상 알콕시실란 보수제와 상기 로우-k 유전체 재료를 접촉시키는 단계 (b) 및/또는 상기 기상 알콕시실란 밀봉제와 상기 로우-k 유전체 재료를 접촉시키는 단계 (c) 는:
    약 60℃ 내지 약 275℃ 의 제 1 온도로 상기 반도체 기판을 가열하는 단계;
    약 10초 내지 약 180초의 시간 동안 약 20Torr 내지 약 1500Torr 의 압력에서 상기 프로세싱 챔버에 상기 기상 알콕시실란 보수제 또는 상기 기상 알콕시실란 밀봉제를 도입하는 단계; 및
    상기 프로세싱 챔버를 배기시키는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  10. 제 9 항에 있어서,
    상기 제 1 온도는 약 100℃ 내지 약 200℃ 이고,
    상기 압력은 약 100Torr 내지 약 760Torr 이고,
    상기 시간은 약 20 초 내지 약 60 초인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  11. 제 9 항에 있어서,
    상기 기상 알콕시실란 보수제와 상기 로우-k 유전체 재료를 접촉시키는 단계 (b) 및/또는 상기 기상 알콕시실란 밀봉제와 상기 로우-k 유전체 재료를 접촉시키는 단계 (c) 는:
    상기 프로세싱 챔버를 배기시키기 전에 약 10 초 내지 약 180 초의 추가적인 시간 동안 약 80℃ 내지 약 300℃ 의 제 2 온도로 상기 반도체 기판을 가열하는 단계; 및 상기 프로세싱 챔버를 배기시킨 후에 약 10 초 내지 약 180 초 동안 상기 제 2 온도에서 상기 반도체 기판을 유지하는 단계를 더 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  12. 제 11 항에 있어서,
    상기 제 2 온도는 약 150℃ 내지 약 250℃ 이고,
    상기 추가적인 시간은 약 20 초 내지 약 60 초인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  13. 제 7 항에 있어서,
    (d) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제를 수평으로 네트워킹하기에 효과적인 양의 유기산 기상 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계; 및
    (e) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제를 수평으로 네트워킹하기에 효과적인 온도로 상기 로우-k 유전체 재료를 가열하는 단계를 더 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  14. 제 13 항에 있어서,
    (d) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제를 수평으로 네트워킹하기에 효과적인 양의 유기산 기상 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계는:
    상기 반도체 기판을 약 60℃ 내지 약 275℃ 의 제 1 온도로 가열하는 단계;
    약 10 초 내지 약 60 초의 시간 동안 약 20Torr 내지 약 1500Torr 의 압력에서 상기 유기산 기상 촉매를 상기 프로세싱 챔버에 도입하는 단계; 및
    상기 프로세싱 챔버를 배기시키는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  15. 제 13 항에 있어서,
    (e) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제를 수평으로 네트워킹하기에 효과적인 온도로 상기 로우-k 유전체 재료를 가열하는 단계는:
    상기 프로세싱 챔버 내에서 약 60 초 내지 약 180 초 동안 약 200℃ 내지 약 300℃ 의 온도로 상기 반도체 기판을 가열하는 단계; 또는 상기 프로세싱 챔버로부터 상기 반도체 기판을 제거하고 핫 플레이트 상에 상기 반도체 기판을 위치시켜 약 60 초 내지 약 180 초 동안 약 200℃ 내지 약 300℃ 의 온도로 상기 반도체 기판을 가열하는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  16. 반도체 기판 상에 형성된 나노다공성 로우-k 유전체 재료를 처리하는 방법으로서,
    상기 로우-k 유전체 재료는 에칭된 개구를 갖고, 상기 에칭된 개구는 상기 에칭된 개구의 외부 표면상에 그리고 상호연결된 기공의 내부 표면상에 실라놀기를 함유하는 에칭 손상된 영역을 가지며,
    (a) 촉매 매개체를 형성하기 위해, 상기 에칭 손상된 영역의 상기 실라놀기와 기상 유기산 촉매 사이의 수소 결합을 형성하는데 효과적인 양의 상기 기상 유기산 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계, 이후에
    (b) 기상 알콕시실란 보수제가 상기 촉매 매개체와 반응하도록, 상기 에칭 손상된 영역의 상기 실라놀기의 약 50% 이상과 반응하는데 효과적인 양의 상기 기상 알콕시실란 보수제와 상기 로우-k 유전체 재료를 접촉시키는 단계; 및/또는
    (c) 기상 알콕시실란 밀봉제가 상기 촉매 매개체와 반응하도록, 상기 상호연결된 기공으로 상부 배리어층의 확산을 방지하기에 효과적인 양의 상기 기상 알콕시실란 밀봉제와 상기 로우-k 유전체 재료를 접촉시키는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  17. 제 16 항에 있어서,
    상기 유기산은 아세트산, 트리플루오로아세트산, 트리클로로아세트산 또는 시트르산의 수성 용액인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  18. 제 16 항에 있어서,
    상기 기상 알콕시실란 보수제는 메틸 트리메톡시실란 (CH3-Si-(O-CH3)3), 디메톡시 디메틸실란 ((CH3)2-Si-(OCH3)2), 메톡시 트리메틸실란 ((CH3)3-Si-OCH3) 또는 n-프로필 트리메톡시실란 (CH3-CH2-CH2-Si-(OCH3)3) 이고;
    상기 기상 알콕시실란 밀봉제는 비스(디메톡시메틸)실록산, 비스(메틸디메톡시실릴프로필)-N-메틸아민, 비스(트리에톡시실릴)에탄, 1,2-비스(트리메톡시실릴)헥산, 비스(트리메톡시실릴프로필)아민 또는 비스(3-(트리에톡시실릴)프로필)디술피드인, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  19. 제 16 항에 있어서,
    (a) 상기 기상 유기산 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계는:
    프로세싱 챔버 내에 상기 반도체 기판을 위치시키고 상기 프로세싱 챔버를 배기시키는 단계;
    약 60℃ 내지 약 275℃ 의 제 1 온도로 상기 반도체 기판을 가열시키는 단계;
    약 10 초 내지 약 60 초의 시간 동안 약 20Torr 내지 약 1500Torr 의 압력에서 상기 프로세싱 챔버에 상기 기상 유기산 촉매를 도입하는 단계; 및
    상기 프로세싱 챔버를 배기시키는 단계를 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
  20. 제 16 항에 있어서,
    (d) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제를 수평으로 네트워킹하기에 효과적인 양의 유기산 기상 촉매와 상기 로우-k 유전체 재료를 접촉시키는 단계; 및
    (e) 상기 기상 알콕시실란 보수제 및/또는 상기 기상 알콕시실란 밀봉제를 수평으로 네트워킹하기에 효과적인 온도로 상기 로우-k 유전체 재료를 가열하는 단계를 더 포함하는, 나노다공성 로우-k 유전체 재료를 처리하는 방법.
KR1020107015900A 2007-12-19 2008-12-08 나노다공성 로우-k 유전체 재료 처리 방법 KR101542636B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US814807P 2007-12-19 2007-12-19
US61/008,148 2007-12-19

Publications (2)

Publication Number Publication Date
KR20100100976A true KR20100100976A (ko) 2010-09-15
KR101542636B1 KR101542636B1 (ko) 2015-08-06

Family

ID=40824589

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107015900A KR101542636B1 (ko) 2007-12-19 2008-12-08 나노다공성 로우-k 유전체 재료 처리 방법

Country Status (6)

Country Link
US (1) US7998875B2 (ko)
JP (1) JP5449189B2 (ko)
KR (1) KR101542636B1 (ko)
CN (1) CN102089861B (ko)
TW (1) TWI497594B (ko)
WO (1) WO2009085098A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019199682A1 (en) * 2018-04-09 2019-10-17 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP5582710B2 (ja) * 2009-03-24 2014-09-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7981699B2 (en) 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
JP5530744B2 (ja) * 2010-02-15 2014-06-25 大陽日酸株式会社 絶縁膜のダメージ回復方法及びダメージが回復された絶縁膜
US8956977B2 (en) 2010-09-10 2015-02-17 Mitsu Chemicals, Inc. Semiconductor device production method and rinse
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
CN102624997B (zh) * 2011-02-01 2016-03-30 阿尔卡特朗讯 用于为用户提供有关计费的语音服务的方法和装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10580639B2 (en) * 2014-08-08 2020-03-03 Mitsui Chemicals, Inc. Sealing composition and method of manufacturing semiconductor device
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017027430A1 (en) * 2015-08-07 2017-02-16 Sba Materials, Inc. Plasma damage management
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101932763B1 (ko) * 2017-03-22 2018-12-26 한국과학기술원 다공성 절연물질 표면의 선택적 실링 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films
US6455130B1 (en) * 1997-04-17 2002-09-24 Alliedsignal Inc. Nanoporous dielectric films with graded density and process for making such films
TW525268B (en) * 1998-03-25 2003-03-21 Honeywell Int Inc Nanoporous dielectric films with graded density and process for making such films
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
WO2004027850A1 (en) 2002-09-20 2004-04-01 Honeywell International, Inc. Interlayer adhesion promoter for low k materials
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019199682A1 (en) * 2018-04-09 2019-10-17 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor

Also Published As

Publication number Publication date
CN102089861B (zh) 2013-03-27
US20110020955A1 (en) 2011-01-27
WO2009085098A1 (en) 2009-07-09
TW200943417A (en) 2009-10-16
CN102089861A (zh) 2011-06-08
KR101542636B1 (ko) 2015-08-06
JP2011508420A (ja) 2011-03-10
JP5449189B2 (ja) 2014-03-19
TWI497594B (zh) 2015-08-21
US7998875B2 (en) 2011-08-16

Similar Documents

Publication Publication Date Title
KR101542636B1 (ko) 나노다공성 로우-k 유전체 재료 처리 방법
US7015150B2 (en) Exposed pore sealing post patterning
JP5500810B2 (ja) 多層配線構造に空隙を形成する方法
US7781332B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
KR101392647B1 (ko) 에칭으로 손상된 로우-k 유전체 재료의 강도의 리페어 및 복원
KR100985613B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US20070287301A1 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k&lt;2.5) dielectrics
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US20070020952A1 (en) Repairing method for low-k dielectric materials
KR20080046087A (ko) 습식 에칭 언더컷팅들을 최소화하고 k가 2.5 미만인 최저k 유전체들의 공극 밀봉을 제공하는 방법
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US7232763B2 (en) Method of manufacturing semiconductor device
WO2018112323A1 (en) Method of selective deposition for beol dielectric etch
JP2004165660A (ja) 半導体素子の多孔性物質膜を形成する方法
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right