CN102089861B - 低k电介质材料的汽相修复和孔密封 - Google Patents

低k电介质材料的汽相修复和孔密封 Download PDF

Info

Publication number
CN102089861B
CN102089861B CN2008801230605A CN200880123060A CN102089861B CN 102089861 B CN102089861 B CN 102089861B CN 2008801230605 A CN2008801230605 A CN 2008801230605A CN 200880123060 A CN200880123060 A CN 200880123060A CN 102089861 B CN102089861 B CN 102089861B
Authority
CN
China
Prior art keywords
low
seconds
alcoxyl silane
sealant
silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008801230605A
Other languages
English (en)
Other versions
CN102089861A (zh
Inventor
詹姆斯·德扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102089861A publication Critical patent/CN102089861A/zh
Application granted granted Critical
Publication of CN102089861B publication Critical patent/CN102089861B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Abstract

提供一种处理半导体基片上形成的纳米孔隙低k电介质材料的方法。该低k电介质材料具有蚀刻出的开口,其在该蚀刻出的开口的外部表面和互连孔的内部表面上具有包含硅烷醇基团的蚀刻受损区域。首先,该低k电介质材料与汽相催化剂以可有效在该催化剂和该蚀刻受损区域中的硅烷醇基团之间形成氢键的量相接触,而形成催化中间体。其次,该低k电介质材料与汽相烷氧硅烷修复剂以可有效与大约50%或更多的该蚀刻受损区域中的硅烷醇基团反应的量相接触,从而该烷氧硅烷修复剂与该催化中间体反应;以及/或该低k电介质材料与汽相烷氧硅烷密封剂以可有效防止上覆阻挡层扩散进入该互连孔的量相接触,从而该烷氧硅烷密封剂与该催化中间体反应。

Description

低K电介质材料的汽相修复和孔密封
背景技术
随着集成电路器件尺寸持续减小,多个晶体管之间信号传播的延时(即,传播延迟)已经变成决定器件性能中越来越重要的参数。传播延迟与金属连线的电阻(R)与该层间介电绝缘材料的电容(C)乘积成比例,(也称作RC延迟)。因此,为了最小化传播延迟,将包含低介电常数材料的绝缘材料与高导电率金属组合(或电阻率金属)组合是有益的。低k电介质(LKD)材料(k<3.0),如有机硅酸盐玻璃(OSG)、有机硅酸盐玻璃(OSG)、四乙氧硅烷(TEOS)、氟硅玻璃(FSG)和碳掺杂氧化物,也正受到重视以作为氧化硅(k=3.8-4.0)的替代物。为了获得较低的介电值,该LKD材料可包含互连的纳米孔隙结构,其吸附空气(k=1)以降低大块LKD总的k值。类似地,铜(Cu)因为其较低的电阻率值(R<2μΩ-cm)而受到相当大的重视以作为互连线金属,作为更加常见的铝(Al)互连金属(R=3.0-5.0μΩ-cm)的替代物。
因为铜不容易形成挥发性副产物,所以传统或习知的蚀刻技术是不适当的。因此,镶嵌制造工艺对于形成图案化的铜互连线是必要的,需要涉及先前图案化的开口(例如,沟槽或过孔)中沉积导电材料的工艺。因此,镶嵌处理使得蚀刻该LKD材料中先前图案化的开口成为必要。其这样进行:将电介质层上掩模层(如光刻胶)中的开口图案化为沟槽或过孔的形状,然后湿法或干法蚀刻。然而,LKD材料的蚀刻、灰化或清洁都有可能损伤该LKD材料。
发明内容
提供一种处理半导体基片上形成的纳米孔隙低k电介质材料的方法。该低k电介质材料具有蚀刻的开口,其在该蚀刻出的开口的外部表面和互连孔的内部表面上具有包含硅烷醇基团的蚀刻受损区域。首先,将该低k电介质材料与汽相催化剂以可有效在该催化剂和该蚀刻受损区域中该硅烷醇基团之间形成氢键的量相接触,而形成催化中间体。其次,该低k电介质材料与汽相烷氧硅烷修复剂以可有效与该蚀刻受损区域中大约50%或更多的硅烷醇基团反应的量相接触,从而该烷氧硅烷修复剂与该催化中间体反应;以及/或该低k电介质材料与汽相烷氧硅烷密封剂以可有效防止上覆阻挡层扩散进入该互连孔的量相接触,从而该烷氧硅烷密封剂与该催化中间体反应。
在另一实施例中,提供一种处理半导体基片上形成的纳米孔隙低k电介质材料的方法。该低k电介质材料具有蚀刻出的开口,其在该蚀刻出的开口的外部表面上和互连孔的内部表面上具有包含硅烷醇基团的蚀刻受损区域。首先,该低k电介质材料与汽相有机酸催化剂以可有效在该催化剂和该蚀刻受损区域中的硅烷醇基团之间形成氢键的量相接触,而形成催化中间体。其次,该低k电介质材料与汽相烷氧硅烷修复剂以可有效与大约50%或更多的该蚀刻受损区域中的硅烷醇基团反应的量相接触,从而该烷氧硅烷修复剂与该催化中间体反应;以及/或该低k电介质材料与汽相烷氧硅烷密封剂以可有效防止上覆阻挡层扩散进入该互连孔的量相接触,从而该烷氧硅烷密封剂与该催化中间体反应。
附图说明
图1说明镶嵌制造工艺的示范实施例。
图2说明硅烷水解沉积物。
图3说明在存在Lewis碱胺的情况下,表面约束Si-OH基团和n-丙基三甲氧基硅烷(n-PTMS)之间形成硅烷化合物的反应。
图3B说明烷氧基至Si-OH基团的转换。
图3C说明邻近硅烷化合物之间水平网状物的形成。
图4A-4D说明在存在有机酸的情况下,邻近硅烷化合物之间的水平网状物的形成。
图5说明修复和/或密封带有纳米孔隙LKD材料半导体基片的工艺室和化学制剂蒸汽输送系统。
图5B说明用于液体制剂的蒸发和输送的化学制剂蒸汽输送系统。
图6A-6C是表征汽相修复的样品、超临界CO2修复的样品以及没有修复的样品的蚀刻沟槽侧壁的修复程度的扫描电子显微镜(SEM)图像。
图7说明汽相修复的样品、超临界CO2修复的样品、没有修复的样品和沉积(as-deposited)的样品的次级离子质谱(SIMS)分析得到的碳和硅的比与深度的函数。
具体实施方式
图1是镶嵌制造工艺的示范实施例。图1A说明带有上覆的纳米孔隙LKD材料层110的半导体基片100。使用掩模层120,在纳米孔隙LKD材料110中形成开口130。为了说明目的,仅示出一个开口130,其可以是许多过孔或沟槽之一。例如,掩模层120可以是有机光刻胶,开口130可以通过等离子蚀刻形成。一经完成等离子蚀刻,掩模层120可以通过灰化去除(即,在氧基等离子中干蚀刻)。
图1B中,阻挡层140可以形成在该开口130的侧壁和底面上方以保护邻近该铜连线的该纳米孔隙LKD材料110不会受到扩散进邻近的电介质材料的铜原子侵害。示范性的阻挡材料包括钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaN)、钌(Ru)、氧化钌(RuOx)及其合金。例如,该阻挡材料可以通过物理气相沉积(PVD),原子层沉积(ALD)等涂覆。
图1C中,开口130填充大块的铜层150。例如,大块的铜层150可以这样形成:沉积种子层,接着无电镀铜。如图1D中所示,该结构可通过任何合适的技术平坦化以去除大块的铜层150的多余部分,如通过化学机械平坦化(CMP)。
该镶嵌制造工艺可以是单或双镶嵌工艺的任一个。对于后一工艺,可使用先过孔方法或先沟槽方法的任一个。
在成功的将纳米孔隙LKD材料植入镶嵌结构之前,必须克服多个障碍。首先,通过蚀刻形成开口130(例如,过孔或沟槽)以及通过灰化去除掩模层120的工艺可能会损伤该纳米孔隙LKD材料。其次,该阻挡材料140(例如,Ti,TiN,Ta,TaN,Ru或RuOx)可能会渗透进入纳米孔隙LKD材料110的互连孔,影响低介电值。第三,由于在许多纳米孔隙LKD材料中存在互连孔,纳米孔隙LKD材料形成的结构缺少随后的处理步骤(例如,CMP)所需的机械强度。因此,需要修复受损的纳米孔隙LKD材料,密封该开口130的表面,以及增强该LKD材料的机械强度。
等离子蚀刻和灰化过程中,可以去除至少一部分含碳基团(即,甲基或-CH3基团),导致纳米孔隙LKD材料疏水性降低。当该含碳基团从该纳米孔隙LKD材料去除,Si-C键被Si-OH(“硅烷醇”)键或基团替代,所得到的电介质层失去了其疏水性,因为来自空气或随后的湿法清洁步骤的水分子与该硅烷醇基团形成很强的氢键作用。水(其k值大约70)的存在导致该纳米孔隙LKD材料的k值显著增加。例如,该纳米孔隙LKD材料中开口(例如,过孔或沟槽)的等离子蚀刻期间,等离子损伤可能延伸到该开口的侧壁中大约30nm的深度。在该等离子损伤的纳米孔隙LKD材料的大约5nm深度,失去大约25%的甲基(-CH3)(即,25%Si-C键被Si-OH键或基团替代)。
蚀刻损伤的纳米孔隙LKD材料可利用烷氧硅烷制剂处理,其与Si-OH反应。然而,使用烷氧硅烷制剂的一个缺陷是在缩合步骤中与该表面约束Si-OH基团缩合之前需要水来水解该烷氧基。因此,与化合物(如烷氧硅烷制剂)的使用相关的挑战之一是修复的一致性。这涉及在烷氧硅烷和Si-OH基团之间的化学反应中水所扮演的关键角色。如图2中所示,需要水在与Si-OH基团缩合之前在水解步骤中水解该烷氧基。
空气湿度导致的表面上不同的氢键合水程度直接影响反应效率。在不使用催化剂的情况下,该烷氧基硅烷和该Si-OH基团之间的化学反应要求存在一些水。进而,如果需要一致的结果,则样品和样品之间必须保持基本上相同程度的水合作用。
Lewis碱胺(例如,氨,甲胺,双甲胺或三甲胺)可以用作催化剂以在没有水解步骤的情况下增强烷氧基硅烷和Si-OH基团之间的缩合速率。如图3中所示,该Lewis碱胺通过与该Si-OH基团形成催化中间体而用作催化剂。形成该催化中间体是因为在该Lewis碱胺和该Si-OH基团之间形成氢键。这个氢键的形成驱使该反应向前进行,允许Si-OH基团与该烷氧硅烷制剂的烷氧基直接反应。该催化中间体本身极容易受到与该烷氧硅烷制剂反应的影响。该反应产生对应的醇作为副产物,释放该胺以再次与额外的Si-OH基团氢键合。另外,该烷氧硅烷化合物可以横向网化以形成三维横向网化的结构,恢复该纳米孔隙LKD材料的机械强度。
有机酸也可通过水解烷氧基硅烷以形成Si-OH基团而用作催化剂,其在加热的情况下与表面约束Si-OH基团缩合。另外,如图4中所示,有机酸可以用来催化水与大多数留在该修复的和/或横向网化的纳米孔隙LKD材料上的残余烷氧基基团之间的反应。图4A中,有机酸催化水和该残余烷氧基之间的水解反应,该反应如图4B中所示产生Si-OH基团。图4C,邻近硅烷化合物的Si-OH基团然后缩合以形成水平网状物。该有机酸可以是任何pKa高达大约7的有机酸,更优选地高达大约4,最优选地高达大约3。该有机酸可包括乙酸、三氟乙酸、三氯乙酸、柠檬酸、其他完全卤化或部分卤化的弱酸及其各种组合。
已经证明这种Lewis碱胺和有机酸催化剂动态增强烷氧基硅烷和表面约束Si-OH基团之间的缩合速率,而不需要在超临界CO2(或SCCO2)介质中的初步水解步骤,如在共同转让的美国专利申请No.11/475,206中描述的,通过引用结合其全部内容。
这里描述的是一种新的方法。其使用与蒸汽相Lewis碱胺或有机酸催化剂结合的蒸汽相单、双或三功能烷氧硅烷制剂修复和/或密封蚀刻损伤的纳米孔隙LKD材料。修复剂和密封剂通常可以表示为Si-(R)x(OR′)y,其中y≥1,x+y=4,R是烷基、芳基或羟基,R是烷基或芳基,优选地是甲基或乙基。
烷氧硅烷修复剂特征在于大约80至大约125原子质量单位(AMU)的更小尺寸的分子,其可通过互联的多孔网状物分散进该大块的该纳米孔隙LKD材料中,并与硅烷醇基团反应。在修复完成之后,50%或更多的失去的甲基通过烷基(例如,乙基、丙基、丁基)补充,优选地补充大约75%至大约90%的甲基。
修复剂的示例可包括甲基三甲氧基硅烷(CH3-Si-(O-CH3)3),二甲氧基二甲基硅烷((CH3)2-Si-(OCH3)2),甲氧基三甲基硅烷((CH3)3-Si-OCH3)或n-丙基三甲氧基硅烷(CH3-CH2-CH2-Si-(OCH3)3)或n-PTMS。
烷氧硅烷密封剂特征在于大约200至大约400AMU的较大的分子。该纳米孔隙LKD材料的毛孔密封通过尺寸排阻的原理进行,其中大尺寸的烷氧硅烷密封剂与表面约束Si-OH基团碰撞反应,而不是通过该纳米孔隙LKD材料孔开口进入多孔网状物。烷氧硅烷密封剂在小于大约3至4nm的深度,优选地在大约1至2nm的深度,与表面硅烷醇基团反应。在该密封工艺完成后,该开口的侧壁和底面用厚度高达大约2nm的膜覆盖。这个膜有效防止上覆的阻挡材料分散到该纳米孔隙LKD材料的互连孔中,然而,应当注意蒸汽相化的催化剂由于较小的分子大小可继续分散到该纳米孔隙LKD材料中。
密封剂的示例可包括双(二甲氧基甲基)硅氧烷,二(甲基双甲基硅氧丙基)-N-甲胺,双(三乙氧基硅)乙烷,1,2-双(三甲氧基硅)己烷,双(三甲氧基硅丙基)胺或二(3-三乙氧基)丙基)二硫化物。
如图5A中所示,带有纳米孔隙LKD材料110的半导体基片100设在工艺室200中,用以处理蚀刻和/或光刻胶灰化导致的损伤。工艺室200可以运行在大约1毫托至大约3750托(即,大约5巴)的压强以及高达大约300℃的温度。
纳米孔隙LKD材料110包括之前蚀刻出的开口130(例如,沟槽或过孔)。由于之前蚀刻和/或去除上覆的掩模层,该电介质层110的暴露表面受到蚀刻损伤。尽管在图5A中未示,但是纳米孔隙LKD材料110可覆盖中间层(如阻挡层)和导电或半导电层。
纳米孔隙LKD材料的示例可包括,但不限于,
Figure BPA00001168416300081
Figure BPA00001168416300082
(由Honeywell International制造),掺杂碳的氧化物,如BLACK
Figure BPA00001168416300083
(APPLIED MATERIALS制造),
Figure BPA00001168416300084
(由NOVELLUS制造),(由ASM制造),(由Trikon制造),LKD-5109(由JSR制造),和纳米簇硅石或NCS(由FUJITSU制造)。许多纳米孔隙LKD材料的一个特征是存在互连孔结构,其具有平均孔尺寸大约2纳米的较窄的孔尺寸分布。
半导体基片100可以设在基片支撑件210上。基片支撑件210可包括静电卡盘(ESC)、机械卡具或其他卡紧机构,以及一个或多个加热元件,用于将半导体基片100加热至高达300℃的温度。
化学制剂蒸汽输送系统220A、220B、220C可用于顺次分别输送催化剂、烷氧硅烷修复剂和烷氧硅烷密封剂至工艺室200。一旦利用该催化剂、烷氧硅烷修复剂或烷氧硅烷密封剂的处理完成,真空泵240可用于从工艺室200排出气体。通过背压调节器250保持工艺室200中的静态气体压强,其作用是当气体压强超过预先选定的压强时,将该蒸汽释放进化学缓冲系统。
图5B说明用于液体制剂(例如,催化剂、烷氧基硅烷修复剂或烷氧硅烷密封剂)的化学制剂蒸汽输送系统220的实施例。来自制剂源224的液体制剂222可以设在起泡器226中,将该起泡器加热至高温以汽化该液体制剂222。来自气体源228的惰性气体(例如氮气或氩气)将该液体制剂222吹起泡泡,以便通过气体管线230将该蒸汽化的制剂输送至工艺室200。可以加热气体管线230以防止该蒸汽化的制剂在该气体管线230内冷凝。
半导体基片100设为在任何修复和/或密封工艺之前接触蒸汽相催化剂。如上所述,该Lewis碱胺或有机酸催化剂的作用是动态增强烷氧基硅烷和Si-OH基团之间的缩合速率,在Lewis碱胺催化剂的情况下没有初步水解步骤,在该有机酸的情况下则是通过加快水解。工艺室200和基片支撑件210的温度可以加热至大约60℃至大约275℃的第一温度,优选地大约100℃至大约200℃。为了防止蒸汽相制剂的冷凝,该半导体基片100的温度优选地在整个工艺中保持大于该蒸汽相制剂的温度。
最初,该工艺室200的压强保持在真空压强(例如,大约0.5托至大约10托)以促进该催化剂的汽化。化学制剂蒸汽输送系统220A将蒸汽相催化剂输送至该工艺室200以处理半导体基片100。半导体基片100设为与该蒸汽相催化剂以可有效与该蚀刻受损区域中的硅烷醇基团相互作用的量相接触。例如,该蒸汽相催化剂可在该纳米孔隙LKD材料110中的开口130的外部表面(即,侧壁表面)以及该互连孔的内部表面上形成催化剂子单层或单层。在一个实施例中,等离子损伤区域可在该开口130的侧壁中延伸至大约30nm的深度。
在一个实施例中,将该催化剂输送至该工艺室200中以将工艺室200中该蒸汽相催化剂的静态压强增加至大约20托至大约1500托(即,大约2巴),优选地大约100托至大约760托。工艺室200的容积是大约1.1升。该蒸汽相催化剂的接触时间可以是大约10秒至大约60秒,优选地大约20至大约30秒。在利用该蒸汽相催化剂的处理完成之后,其余的蒸汽相催化剂由惰性气体的流体流或真空泵240任一个从该工艺室200去除,直到压强低于大约5托。在另一实施例中,通过将该蒸汽相催化剂通入处理室而在真空泵240和/或背压调节器250同时运行期间保持动态真空。
示范性的Lewis碱胺可包括氨(NH3)、甲胺(CH3NH2)、双甲胺((CH3)2NH)或三甲胺(N(CH3)3),或对应的伯、仲或叔-乙基取代胺。示范性的有机酸可包括乙酸、三氟乙酸、三氯乙酸、柠檬酸、其他完全卤化或部分卤化弱酸。在一个实施例中,该有机酸可以与水混合以形成水溶液,其被蒸汽化。取决于该具体的Lewis碱胺或有机酸,该工艺室200和基片支撑件210的温度和静态压强选择为防止该Lewis碱胺催化剂或有机酸冷凝。如果使用有机酸催化剂,为了最大化烷氧硅烷与表面约束Si-OH基团的反应,在引入烷氧硅烷修复和/或密封剂之前,优选地排出过量的汽相有机酸,以防止汽相的有机酸和烷氧硅烷之间的反应。
在利用该蒸汽相催化剂的处理完成之后,该工艺室200的压强降低至真空压强(例如,大约0.5托至大约10托)以促进该烷氧硅烷修复剂的蒸汽化。工艺室200可选地在排空至真空压强之前以惰性气体(例如,氮气或氩气)回填充。工艺室200和基片支撑件210的温度保持在该大约60℃至大约275℃的第一温度,优选地大约100℃至大约200℃。化学制剂蒸汽输送系统220B将该烷氧硅烷修复剂输送至该工艺室200以处理半导体基片100。半导体基片100设为与该烷氧硅烷修复剂以可有效利用烷基(例如,乙基,丙基,丁基)恢复至少50%的损失甲基的量相接触,优选地大约75%至大约90%的损失甲基。
在一个实施例中,输送固定量的烷氧硅烷修复剂从而工艺室200中该修复剂的静态压强是大约20托至大约1500托(即,大约2巴),优选地大约100托至大约760托。半导体基片100与该第一温度的蒸汽相烷氧硅烷修复剂的总的接触时间可以是大约10秒至大约180秒,优选地大约20秒至大约60秒。在另一实施例中,可以通过将蒸汽相修复剂通入处理室200而在真空泵240和/或背压调节器250的同时运行期间保持动态真空。
为提高该烷氧硅烷修复剂与该硅烷醇基团在该大块的纳米孔隙LKD材料110中的反应性,在与第一温度的烷氧硅烷修复剂的接触完成后,该纳米孔隙LKD材料110优选地设为接触在第二温度的烷氧硅烷修复剂。该晶片支撑件210的温度可以从该第一温度增加到大约80℃至大约300℃的第二温度,优选地大约150℃至大约250℃。半导体基片100与该第二温度的蒸汽相烷氧硅烷修复剂的总接触时间可以是大约10秒至大约180秒,优选地大约20秒至大约60秒。
示范性的烷氧硅烷修复剂可包括甲基三甲氧基硅烷(CH3-Si-(O-CH3)3)、二甲氧基二甲基硅烷((CH3)2-Si-(OCH3)2)、甲氧基三甲基硅烷((CH3)3-Si-OCH3)或n-丙基三甲氧基硅烷(CH3-CH2-CH2-Si-(OCH3)3)或n-PTMS。取决于具体的烷氧硅烷修复剂,该工艺室200和基片支撑件210的温度和静态压强选择为防止该烷氧硅烷修复剂冷凝。该烷氧硅烷修复剂在该LKD材料表面冷凝是有害的,会阻止该烷氧硅烷修复剂均匀地渗入该纳米孔隙LKD材料。为了确保该制剂处于其蒸汽态,该纳米孔隙LKD材料设为接触低于饱和点(即,给定温度的蒸汽压强小于760托)的制剂。在这些情况下,该纳米孔隙LKD材料上不会出现饱和(即,形成液体制剂)。
为了从半导体基片100去除过量的制剂和副产物,将真空室的压强降低至大约0.5托,持续大约30秒至大约180秒。或者,该室中的压强可以保持在静止水平,以及惰性冲刷气体的动态流可用于去除残余的制剂。
如果需要,上述用于将该蒸汽相催化剂和蒸汽相烷氧硅烷修复剂与该纳米孔隙LKD材料接触的工艺步骤可以在第二温度的同一半导体基片100上重复一次或多次以增加该烷氧硅烷修复剂的反应性。例如,将该蒸汽相催化剂和该烷氧硅烷修复剂与该纳米孔隙LKD材料接触可以重复高达十次。
一旦完成利用该蒸汽相修复剂的处理,可以通过将半导体基片100与烷氧硅烷密封剂接触来密封该LKD材料110的表面。如上所述,这个密封步骤用厚度高达大约2nm的烷氧硅烷膜覆盖该开口的侧壁和底面,有效防止上覆的阻挡材料分散进该纳米孔隙LKD材料的互连孔。该LKD材料110可以是可选地设为在该密封工艺之前接触该蒸汽相催化剂。
密封工艺与上述修复工艺完全相同,包括利用该蒸汽相催化剂的处理。然而,烷氧硅烷密封剂用来替代该烷氧硅烷修复剂。示范性的烷氧硅烷密封剂可包括双(二甲氧基甲基)硅氧烷、双(甲基二甲氧基硅丙基)-N-甲胺、双(三乙氧基硅)乙烷、1,2-双(三甲氧基硅)己烷、双(三甲氧基硅丙基)胺或双(3-(三乙氧基硅)丙基)二硫化物。
如果对开口130的侧壁和底部的等离子损伤最小并且不需要修复,则可以通过使LKD材料110的表面与烷氧硅烷密封剂接触来密封该表面而不需要初始修复步骤。在这个例子中,在该密封工艺之前,将该半导体基片100和LKD材料110设为接触该蒸汽相催化剂。
如果需要,在该修复和/或密封工艺完成之后,该烷氧硅烷化合物可以横向网化以形成三维横向网化结构,恢复该纳米孔隙LKD材料的机械强度。这个横向网化步骤可以利用上述的有机酸(例如,乙酸,三氟乙酸,三氯乙酸,柠檬酸)处理该LKD材料以催化水和该残余烷氧基之间的水解反应来执行,如图4中所示。在优选实施例中,该有机酸蒸汽可以使用该化学制剂蒸汽输送系统220、以上述的温度范围、压强范围和时间范围输送至工艺室200。该LKD材料设为与汽相催化剂以可有效横向网化该烷氧硅烷修复和/或密封剂的量相接触。
在利用该有机酸蒸汽的处理完成之后,将该半导体基片100的温度增加到大约200℃至大约300℃,持续大约60秒至大约360秒。这个加热步骤促进邻近烷氧硅烷分子之间的缩合反应以形成三维横向网化结构,恢复该纳米孔隙LKD材料的机械强度。这个加热步骤可以在工艺室200中进行,或半导体基片100可以去除并在加热板上加热。
在蒸汽相修复和密封完成之后,半导体基片100可以经受随后的镶嵌处理步骤,包括在开口130中沉积阻挡层140、在开口130形成大块的金属层150和平坦化整个结构,如图1B-1D中所示。纳米孔隙LKD材料110中开口130的侧壁和底面的蒸汽相密封防止用来形成阻挡层140的材料(例如,Ti,TiN,Ta,TaN,Ru或RuOx)渗入该纳米孔隙LKD材料110的互连孔。纳米孔隙LKD材料层110的蒸汽相修复和密封产生更稳定的结构,其可经受进一步的机械处理步骤,包括CMP。
示例1
在一个示范实施例中,使用蒸汽相甲基三甲氧基硅烷(CH3-Si-(O-CH3)3)作为修复剂和蒸汽相二乙基胺((CH3)2NH)作为催化剂来修复具有蚀刻损伤的纳米孔隙LKD材料110的半导体基片100。
具有蚀刻损伤的低k电介质层110的半导体基片100设在工艺室200的基片支撑件210上。将该工艺室200排空至低于50托的压强,以及该基片支撑件210和工艺室200加热到大约100℃的第一温度。二乙基胺蒸汽从化学制剂蒸汽输送系统220A输送至工艺室200,至静态压强为700托。该半导体基片100设为接触该二乙基胺蒸汽大约20秒。
在该二乙基胺蒸汽处理完成后,利用真空泵240在动态真空排出该蒸汽以将该工艺室200的压强降低至大约5托或更低,以去除残余的胺并促进该甲基三甲氧基硅烷的蒸汽化,其在大气条件下是液体。
甲基三甲氧基硅烷蒸汽(CH3-Si-(O-CH3)3)从化学制剂蒸汽输送系统220B流进工艺室200,直到静态压强达到400托。半导体基片100设为在大约100℃的第一温度接触该蒸汽相甲基三甲氧基硅烷大约20秒。
基片支撑件210的温度从该大约100℃的第一温度增加到大约150℃的第二温度,该半导体基片100设为接触该蒸汽相甲基三甲氧基硅烷持续额外的30秒。
在该甲基三甲氧基硅烷蒸汽处理完成后,利用真空泵240在动态真空下排出该蒸汽以将该工艺室200的压强降低至大约0.5托或更少,持续大约60秒,以便去除过量的甲基三甲氧基硅烷蒸汽和副产物。
示例2
在另一实施例中,具有蚀刻损伤的纳米孔隙LKD材料110的半导体基片100使用蒸汽相双(3-甲基二甲氧基硅-丙基)-N-甲胺作为密封剂以及蒸汽相甲胺(CH3NH2)作为催化剂来密封。
具有蚀刻损伤的低k电介质层110的半导体基片100设在工艺室200的基片支撑件210上。将该工艺室200排空至压强低于50托,基片支撑件210和工艺室200加热至大约150℃的第一温度。从化学制剂蒸汽输送系统220A将甲胺蒸汽输送进工艺室200至1800托的静态压强。该半导体基片100设为接触该甲胺蒸汽持续大约60秒。
在该甲胺蒸汽处理完成后,利用真空泵240在动态真空下排出该蒸汽以将该工艺室200的压强降低至大约300托或更低。
使用干氮气作为载体气体以近似3000sccm将二(3-甲基二甲基硅氧烷基-丙基)-N-甲胺流从化学制剂蒸汽输送系统220C引入工艺室200。通过同时运行真空泵240将该压强保持在至400托。半导体基片100设为接触双(3-甲基二甲氧基硅-丙基)-N-甲胺流在大约150℃持续大约180秒。
在该二(3-甲基二甲基硅氧烷基-丙基)-N-甲胺蒸汽处理完成后,利用真空泵240在动态真空下排出该蒸汽以将该工艺室200的压强降低至大约2托或更低持续大约60秒。
示例3
准备好具有上覆的纳米孔隙LKD材料(JSR LKD-5109,由JSRCorporation制造)的硅基片样品。该样品涂覆有光刻胶、图案化并且经受反应性离子蚀刻(RIE)以形成沟槽,然后进行氧气灰化以去除该光刻胶。该RIE和光刻胶去除在图案化区域中蚀刻出的开口周围产生等离子损伤区域。
具有等离子损伤的JSR LKD-5109层的第一样品设为在接触蒸汽相n-丙基三甲氧基硅烷(n-PTMS)修复剂之前接触汽相三氟乙酸催化剂。带有等离子损伤的JSR LKD-5109层的第二样品使用n-PTMS修复剂和溶解在超临界CO2(SCCO2)中的三氟乙酸催化剂来处理。第三样品是控制样品,具有未修复的等离子损伤的JSRLKD-5109层。第四样品是另一控制样品,具有沉积状态的JSRLKD-5109层(即,未经受等离子蚀刻)。通过扫描电子显微镜(SEM)来分析这些样品以表征沿所蚀刻的沟槽的侧壁的修复程度,以及通过次级离子质谱(SIMS)分析以表征该JSR LKD-5109层表面至大约150nm的深度中碳与硅的比率。
将含有该等离子蚀刻损伤的JSR LKD-5109的第一样品设在工艺室200的基片支撑件210上。将该工艺室200排空至压强低于50托,将该基片支撑件210和工艺室200加热至大约135℃的第一温度。从化学制剂蒸汽输送系统220A将三氟乙酸与水3∶1的混合物蒸汽化并引入工艺室200至900托的压强。该第一样品设为在工艺室200利用氮气回填之前接触该三氟乙酸蒸汽持续大约120秒,以及排空。通过化学制剂蒸汽输送系统220B将蒸汽相n-PTMS修复剂输送进工艺室200,以在大约1000托的静态压强处理该第二样品持续大约5分钟。该工艺室200回填氮气,该第一样品保持在135℃的温度持续大约2分钟。该第一样品然后从该工艺室去除并在加热板上在大约250℃加热大约120秒。
该第二样品设在加热的压力容器(即,大约140℃)中,其随后密封并利用超临界二氧化碳(SCCO2)加压至大约97500托(大约130巴)。使用高压喷射回路通过将额外的SCCO2泵入该容器并将催化剂冲入该容器来添加三氟乙酸与水的3∶1混合物。该催化剂在完成添加后的浓度大约为1%体积份,所得到的压强是在大约140℃、大约150000托(大约200巴)。在大约60秒的时间后,通过添加纯SCCO2将含有酸催化剂的SCCO2溶液从该室冲离。该冲洗导致在大约2分钟的时间有大约6流体周转(即,一定时间等于该容器容积所需的流量)。冲洗之后,该容器中的压强通过去除SCCO2降低至大约140℃、大约97500托(大约130巴)。然后将n-丙基三甲氧基硅烷(n-PTMS)修复剂添加到该容器,使用高压喷射回路通过将额外的SCCO2泵入该容器。该修复剂的浓度大约2%体积份,该容器中最终的压强是140℃、大约150000托(大约200巴)。在5分钟的时间后,该容器利用纯SCCO2冲洗,所持续的时间和流率使得在大约2分钟的时间内有8流体周转。该容器中的压强降低到大气压强,然后去除该第二样品。
该第一样品(即,n-PTMS蒸汽相修复的)、第二样品(即,n-PTMS SCCO2修复的)和第三样品(即,未修复的)通过SEM来描述。每个样品在1%的HF溶液中蚀刻大约60秒,并在去离子水中冲洗。因为该1%HF溶液优先蚀刻来自该纳米孔隙LKD材料的Si-OH基团,所以修复可以通过沿该沟槽的侧壁的低切深度量化。图6A说明该第一样品(即,n-PTMS汽相修复)的SEM图像,其中在进入该沟槽的侧壁大约11nm的深度测量低切。图6B说明该第二样品(即,n-PTMS SCCO2修复)的SEM图像,其中在进入该沟槽的侧壁大约11nm的深度测量低切。图6C说明该第三样品(即,未修复)的SEM图像,其中在进入该沟槽的侧壁大约43nm的深度测量低切。因此,图6B-6C说明该汽相修复与SCCO2在修复蚀刻损伤的纳米孔隙LKD材料中一样有效。
该第一样品(即,n-PTMS蒸汽相修复)、第二样品(即,n-PTMSSCCO2修复)、第三样品(即,未修复)和第四样品(即,沉积的JSRLKD-5109)通过SIMS表征以确定碳与硅的比与深度的关系,其中溅射每个样品表面,并且利用质谱仪分析在这个溅射过程中形成的次级离子。
如图7中所示,该第四样品(图7中的填充方块)、沉积状态的JSR LKD-5109的碳与硅的比大约0.55。对于该第三样品(图7中的空心方块),在蚀刻该沟槽和光刻胶去除完成后,该碳与硅的比降至大约0.45至大约0.55。然而,对于该第二样品(图7中空心圆),该碳与硅的比升至大约0.6至大约0.8,高于该沉积状态的JSRLKD-5109的碳与硅的比。这是因为该修复工艺用丙基(-C3H7)替换了损失的甲基(-CH3),因此增加该受损区域的碳与硅的比。对于第一样品(图7中实心圆),该碳与硅的比显著增加到高达大约1.45。这可能表明该蒸汽相修复更有效地使n-PTMS和/或多孔表面的密封致密。
尽管本发明参照其多个实施方式详细描述,但是对于本领域技术人员来说,显然可进行各种不同的改变和修改,以及采用等同方式,而不背离所附权利要求的范围。

Claims (20)

1.一种处理半导体基片上形成的纳米孔隙低k电介质材料的方法,该低k电介质材料具有蚀刻出的开口,其在该蚀刻出的开口的外部表面上和在互连孔的内部表面上具有包含硅烷醇基团的蚀刻受损区域,该方法包括:
(a)将该低k电介质材料与汽相催化剂以可有效在该催化剂和该蚀刻受损区域中的硅烷醇基团之间形成氢键的量相接触,形成催化中间体,然后是:
(b)将该低k电介质材料与汽相烷氧硅烷修复剂以可有效与50%或更多的该蚀刻受损区域中的硅烷醇基团反应的量相接触,从而该烷氧硅烷修复剂与该催化中间体反应;以及/或
(c)将该低k电介质材料与汽相烷氧硅烷密封剂以可有效防止上覆阻挡层扩散进入该互连孔的量相接触,从而该烷氧硅烷密封剂与该催化中间体反应。
2.根据权利要求1所述的方法,其中:(i)该催化剂是Lewis碱胺或有机酸;(ii)在步骤(c)之前重复步骤(a)和步骤(b)十次;(iii)该密封剂在该蚀刻出的开口的外部表面上形成厚度高达2nm的烷氧硅烷膜;以及/或该烷氧硅烷修复剂具有80至125的原子质量单位,该烷氧硅烷密封剂具有200至400的原子质量单位。
3.根据权利要求1所述的方法,进一步包括在步骤(c)之前重复步骤(a)。
4.根据权利要求2所述的方法,其中该Lewis碱胺是氨(NH3)、甲胺(CH3NH2)、二甲胺((CH3)2NH)或三甲胺(N(CH3)3);或该有机酸是乙酸,三氟乙酸,三氯乙酸或柠檬酸的水溶液。
5.根据权利要求2所述的方法,进一步包括,在步骤(a)之后以及在步骤(b)之前从该低k电介质材料去除汽相有机酸催化剂以防止在:(i)该汽相有机酸催化剂和(ii)烷氧硅烷修复剂和/或烷氧硅烷密封剂之间的汽相反应。
6.根据权利要求5所述的方法,其中该烷氧硅烷修复剂是甲基三甲氧基硅烷(CH3-Si-(O-CH3)3),二甲氧基二甲基硅烷((CH3)2-Si-(OCH3)2),甲氧基三甲基硅烷((CH3)3-Si-OCH3)或n-丙基三甲氧基硅烷(CH3-CH2-CH2-Si-(OCH3)3);以及该烷氧硅烷密封剂是双(二甲氧基甲基)硅氧烷,双(甲基二甲氧基硅丙基)-N-甲胺,双(三乙氧基硅)乙烷,1,2-双(三甲氧基硅)己烷,双(三甲氧基硅丙基)胺或双(3-(三乙氧基硅)丙基)二硫化物。
7.根据权利要求1所述的方法,其中(a)将该低k电介质材料与该气相催化剂接触包括:
将该半导体基片设在处理室中和排空该处理室;
将该半导体基片加热至60℃至275℃的第一温度;
将该催化剂引入压强20托至1500托的该室,持续时间10秒至60秒;以及
排空该室。
8.根据权利要求7所述的方法,其中该第一温度是100℃至200℃,该压强是100托至760托,时间是20秒至30秒。
9.根据权利要求7所述的方法,其中(b)将该低k电介质材料与该气相修复剂接触和/或(c)将该低k电介质材料与该气相密封剂接触包括:
将该半导体基片加热至60℃至275℃的第一温度;
将该烷氧硅烷修复剂或烷氧硅烷密封剂引入压强20托至1500托的室,持续时间10秒至180秒;以及
排空该室。
10.根据权利要求9所述的方法,其中该第一温度100℃至200℃,该压强100托至760托,以及该时间20秒至60秒。
11.根据权利要求9所述的方法,其中(b)将该低k电介质材料与该气相修复剂接触和/或(c)将该低k电介质材料与该气相密封剂接触进一步包括:
在排空该室之前,将该半导体基片加热至80℃至300℃的第二温度,持续10秒至180秒的额外时间;以及
排空该室之后,将该半导体基片保持在该第二温度持续10秒至180秒。
12.根据权利要求11所述的方法,其中该第二温度是150℃至250℃,该额外的时间是20秒至60秒。
13.根据权利要求7所述的方法,进一步包括:
(d)将该低k电介质材料与汽相有机酸催化剂以可有效横向网化该烷氧硅烷修复和/或密封剂的量相接触;以及
(e)加热该低k电介质材料至有效横向网化该烷氧硅烷修复和/或密封剂的温度。
14.根据权利要求13所述的方法,其中(d)将该低k电介质材料与汽相有机酸催化剂以可有效横向网化该烷氧硅烷修复和/或密封剂的量相接触,包括:
将该半导体基片加热至60℃至275℃的第一温度;
将该汽相有机酸催化剂引入压强20托至1500托的室,持续时间10秒至60秒;以及
排空该室。
15.根据权利要求13所述的方法,其中(e)加热该低k电介质材料至有效横向网化该烷氧硅烷修复和/或密封剂的温度包括:
在该室中,将该半导体基片加热至200℃至300℃的温度持续60秒至180秒;或
从该室去除该半导体基片并将该半导体基片设在热板上,以及将该半导体基片加热至200℃至300℃的温度持续60秒至180秒。
16.一种处理半导体基片上形成的纳米孔隙低k电介质材料的方法,该低k电介质材料具有蚀刻出的开口,其在该蚀刻出的开口的外部表面上和在互连孔的内部表面上具有包含硅烷醇基团的蚀刻受损区域,该方法包括:
(a)将该低k电介质材料与汽相有机酸催化剂以可有效在该催化剂和该蚀刻受损区域中的硅烷醇基团之间形成氢键的量相接触,形成催化中间体,接着:
(b)将该低k电介质材料与汽相烷氧硅烷修复剂以可有效与50%或更多的该蚀刻受损区域中的硅烷醇基团反应的量相接触,从而该烷氧硅烷修复剂与该催化中间体反应;以及/或
(c)将该低k电介质材料与汽相烷氧硅烷密封剂以可有效防止上覆阻挡层扩散进入该互连孔的量相接触,从而该烷氧硅烷密封剂与该催化中间体反应。
17.根据权利要求16所述的方法,其中该有机酸是乙酸、三氟乙酸、三氯乙酸或柠檬酸的水溶液。
18.根据权利要求16所述的方法,其中该烷氧硅烷修复剂是甲基三甲氧基硅烷(CH3-Si-(O-CHs)3),二甲氧基二甲基硅烷((CH3)2-Si-(OCH3)2),甲氧基三甲基硅烷((CH3)3-Si-OCH3)或n-丙基三甲氧基硅烷(CH3-CH2-CH2-Si-(OCH3)3);以及该烷氧硅烷密封剂是双(二甲氧基甲基)硅氧烷,双(甲基二甲氧基硅丙基)-N-甲胺,双(三乙氧基硅)乙烷,1,2-二(三甲氧基硅烷)正己烷,双(三甲氧基硅丙基)胺或双(3-(三乙氧基硅)丙基)二硫化物。
19.根据权利要求16所述的方法,其中(a)将该低k电介质材料与汽相有机酸催化剂接触包括:
将该半导体基片设在处理室中和排空该处理室;
将该半导体基片加热至60℃至275℃的第一温度;
将该汽相有机酸催化剂引入压强20托至1500托的室,持续时间10秒至60秒;以及
排空该室。
20.根据权利要求16所述的方法,进一步包括:
(d)将该低k电介质材料与汽相有机酸催化剂以可有效横向网化该烷氧硅烷修复和/或密封剂的量相接触;以及
(e)加热该低k电介质材料至有效横向网化该烷氧硅烷修复和/或密封剂的温度。
CN2008801230605A 2007-12-19 2008-12-08 低k电介质材料的汽相修复和孔密封 Active CN102089861B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US814807P 2007-12-19 2007-12-19
US61/008,148 2007-12-19
PCT/US2008/013471 WO2009085098A1 (en) 2007-12-19 2008-12-08 Vapor phase repair and pore sealing of low-k dielectric materials

Publications (2)

Publication Number Publication Date
CN102089861A CN102089861A (zh) 2011-06-08
CN102089861B true CN102089861B (zh) 2013-03-27

Family

ID=40824589

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801230605A Active CN102089861B (zh) 2007-12-19 2008-12-08 低k电介质材料的汽相修复和孔密封

Country Status (6)

Country Link
US (1) US7998875B2 (zh)
JP (1) JP5449189B2 (zh)
KR (1) KR101542636B1 (zh)
CN (1) CN102089861B (zh)
TW (1) TWI497594B (zh)
WO (1) WO2009085098A1 (zh)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
EP2406267B1 (en) 2009-03-10 2019-02-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cyclic amino compounds for low-k silylation
JP5582710B2 (ja) * 2009-03-24 2014-09-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7981699B2 (en) 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
JP5530744B2 (ja) * 2010-02-15 2014-06-25 大陽日酸株式会社 絶縁膜のダメージ回復方法及びダメージが回復された絶縁膜
EP2615635B1 (en) * 2010-09-10 2016-05-25 Mitsui Chemicals, Inc. Semiconductor device production method and rinse
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
CN102624997B (zh) * 2011-02-01 2016-03-30 阿尔卡特朗讯 用于为用户提供有关计费的语音服务的方法和装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
EP3159917B1 (en) * 2014-08-08 2021-08-04 Mitsui Chemicals, Inc. Seal composition and production method for semiconductor device
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017027430A1 (en) * 2015-08-07 2017-02-16 Sba Materials, Inc. Plasma damage management
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101932763B1 (ko) * 2017-03-22 2018-12-26 한국과학기술원 다공성 절연물질 표면의 선택적 실링 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20210384029A1 (en) * 2018-04-09 2021-12-09 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455130B1 (en) * 1997-04-17 2002-09-24 Alliedsignal Inc. Nanoporous dielectric films with graded density and process for making such films
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW525268B (en) * 1998-03-25 2003-03-21 Honeywell Int Inc Nanoporous dielectric films with graded density and process for making such films
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US20050173803A1 (en) 2002-09-20 2005-08-11 Victor Lu Interlayer adhesion promoter for low k materials
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455130B1 (en) * 1997-04-17 2002-09-24 Alliedsignal Inc. Nanoporous dielectric films with graded density and process for making such films
US6670022B1 (en) * 1997-04-17 2003-12-30 Honeywell International, Inc. Nanoporous dielectric films with graded density and process for making such films
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films

Also Published As

Publication number Publication date
CN102089861A (zh) 2011-06-08
US20110020955A1 (en) 2011-01-27
KR20100100976A (ko) 2010-09-15
US7998875B2 (en) 2011-08-16
KR101542636B1 (ko) 2015-08-06
TWI497594B (zh) 2015-08-21
JP2011508420A (ja) 2011-03-10
TW200943417A (en) 2009-10-16
WO2009085098A1 (en) 2009-07-09
JP5449189B2 (ja) 2014-03-19

Similar Documents

Publication Publication Date Title
CN102089861B (zh) 低k电介质材料的汽相修复和孔密封
US7807219B2 (en) Repairing and restoring strength of etch-damaged low-k dielectric materials
US7015150B2 (en) Exposed pore sealing post patterning
CN101015050B (zh) 半导体器件的制备方法和用该方法制备的半导体器件
KR102312269B1 (ko) 집적된 금속 스페이서 및 에어 갭 인터커넥트
KR101063591B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7314837B2 (en) Chemical treatment of semiconductor substrates
US20090072401A1 (en) Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20070202676A1 (en) Integration scheme for cu/low-k interconnects
EP1671360A2 (en) Method and system for treating a dielectric film
WO2006025500A1 (ja) 半導体装置の製造方法およびこれを用いて形成された半導体装置
US7517815B2 (en) Spin-on glass composition, method of preparing the spin-on glass composition and method of forming a porous silicon oxide layer using the spin-on glass composition
US20180174897A1 (en) Method of selective deposition for beol dielectric etch
JP2004165660A (ja) 半導体素子の多孔性物質膜を形成する方法
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant