KR100974042B1 - 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품 - Google Patents

금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품 Download PDF

Info

Publication number
KR100974042B1
KR100974042B1 KR1020097021356A KR20097021356A KR100974042B1 KR 100974042 B1 KR100974042 B1 KR 100974042B1 KR 1020097021356 A KR1020097021356 A KR 1020097021356A KR 20097021356 A KR20097021356 A KR 20097021356A KR 100974042 B1 KR100974042 B1 KR 100974042B1
Authority
KR
South Korea
Prior art keywords
film
low
silylating agent
silylation
hydrophobicity
Prior art date
Application number
KR1020097021356A
Other languages
English (en)
Other versions
KR20090111883A (ko
Inventor
니루파마 차크라파니
매튜 이. 콜번
크리스토스 디. 디미트라코폴로스
사티아나레이아나 브이. 니타
더크 프라이퍼
삼패쓰 푸루소트하만
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20090111883A publication Critical patent/KR20090111883A/ko
Application granted granted Critical
Publication of KR100974042B1 publication Critical patent/KR100974042B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

집적 회로에서의 RC 지연을 감소시키는 데 종종 사용되고 있는 것으로는, 종종 망상구조에서 알킬기 또는 아릴기(물질에 소수성을 부가하고 자유 부피를 생성하기 위한 것임)가 Si 원자에 직접 부착된 실리카 유사 골격을 갖는 다공성 오가노실리케이트의 유전체 필름이 존재한다. Si-R 결합은 프로세싱에서 통상적으로 사용되는 플라즈마 또는 화학적 처리에 대한 노출에 좀처럼 살아남지 못한다. 이것은 연속 기포성 발포 구조(open cell pore structure)를 갖는 물질의 경우에 특히 그러하다. Si-R 결합이 파괴될 때, 그 물질은 친수성 실라놀의 형성으로 인해 소수성을 상실하고, 낮은 유전 상수가 손상된다. 본 발명은 일반식 (R2N)xSiRy를 가질 수 있는 새로운 부류의 실릴화제를 사용하여 물질의 소수성을 복원하는 방법을 제공하는 것으로, 상기 식 중 X 및 Y는 각각 1 내지 3의 정수 및 3 내지 1의 정수이고, R 및 R'은 수소, 알킬, 아릴, 알릴 및 비닐 부위로 이루어지는 군으로부터 선택된다. E또한, 다공성 오가노실리케이트의 기계적 강도가 역시 마찬가지로 실릴화 처리의 결과로서 향상된다.

Description

금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품{RECOVERY OF HYDROPHOBICITY OF LOW-K AND ULTRA LOW-K ORGANOSILICATE FILMS USED AS INTER METAL DIELECTRICS}
본 발명은 컴퓨터, 마이크로프로세서, 마이크로컨트롤러, 센서, 통신 장치 등에서 사용되는 초고성능 마이크로일렉트로닉 칩 상의 인터커넥트 배선 네트워크(interconnect wiring network)에 관한 것이다. 구체적으로, 본 명세서에 기술된 본 발명의 구성은 그러한 배선과 연관된 신호 전파 지연을 상당히 감소시키는 것에 관한 것이다. 상세히 기술되어 특허 청구된 본 발명의 방법은, 유전체가 소정의 플라즈마 노출에 의해 친수성으로 된 후, 낮은 유전 상수의 유전체의 유전 특성을 복원하는 데 요구되는 화학 및 프로세싱을 제공하며, 그리고 다공성 오가노실리케이트 유전체를 증착시킨 후에 그리고 그 필름을 포함하는 인터커넥트 구조체를 형성하는 프로세스 동안에 다공성 오가노실리케이트 유전체의 낮은 유전 상수를 유지하고, 기계적 강도를 증가시키는 데 요구되는 화학 및 방법을 제공한다. 본 발명은 또한 이들 물질을 이러한 칩 내에 성공적으로 집적화하는 것을 가능하게 해주는 방법에 관한 것이다.
고성능 마이크로프로세서, 마이크로컨트롤러, 및 통신 칩은, 논리적 연산, 데이터의 저장 및 검색, 제어 신호의 제공 등과 같은 다양한 기능을 수행하는 데 사용되는 능동 트랜지스터 디바이스들 간의 초고속 인터커넥트를 필요로 한다. 현재 극초대규모 집적회로(ultra large scale integration: ULSI)을 유도하는 트랜지스터 디바이스 기술의 진보에 따라, 이러한 진보된 칩의 전체적인 작동 속도는 칩 상의 개별 디바이스들 간의 인터커넥트 배선에서의 신호 전파 지연에 의해 제한되기 시작하고 있다. 인터커넥트에서의 신호 전파 지연은 RC 곱(RC product)에 의존하며, 여기서 R은 인터커넥트 배선의 저항을 나타내고, C는 배선이 매립되어 있는 인터커넥트 스킴(scheme)의 전체 커패시턴스를 나타낸다. 인터커넥트 배선 물질로서 알루미늄 대신에 구리를 사용하는 것은 RC 곱에 대한 저항 기여의 감소를 가능하게 해준다. 마이크로일렉트로닉스 산업에서의 현재의 주안점은 칩 상에 다층 인터커넥트 구조체를 형성하는 데 있어 보다 낮은 유전 상수(k) 절연체를 사용하여 인터커넥트 커패시턴스를 감소시키는 것이다.
이러한 작은 규모로 인터커넥트 배선 네트워크를 형성시키는 한가지 종래 기술의 방법은 도 1a 내지 도 1g에 개략적으로 도시된 듀얼 다마신(dual damascene: DD) 프로세스이다. 도 1a를 참조하면, 표준 DD 프로세스에서는, 2개의 층(1110, 1120)으로 도시된 금속간 유전체(inter metal dielectric: IMD)가 기판(1100) 상에 코팅되어 있다. 비아 레벨 유전체(via level dielectric)(1110) 및 라인 레벨 유전체(line level dielectric)(1120)가 프로세스 흐름도 설명을 명백하게 하기 위해 서 개별적으로 도시되어 있다. 일반적으로, 이들 2개의 층은 동일하거나 상이한 절연 필름으로 제조될 수 있으며, 전자의 경우에는 단일의 모놀리딕층으로 도포된다. 하드 마스크층(hard mask layer) 또는 층상화된 스택(layered stack)(1130)이 에칭 선택성을 용이하게 해주기 위해서 그리고 폴리쉬 스톱(polish stop)으로서 작용하도록 해주기 위해서 선택적으로 이용된다. 인터커넥트 배선 네트워크는 2가지 유형의 피처(feature): 칩을 가로 질러 일정 거리를 횡단하는 라인 피처(line feature)와 다층 스택내 상이한 레벨의 인터커넥트의 라인들을 연결하는 비아 피처(via feature)로 이루어져 있다. 역사적으로, 그 2개의 층은 플라즈마 강화형 화학 기상 증착(PECVD: plasma enhanced chemical vapor deposition)에 의해 증착된 이산화규소(SiO2) 또는 FSG(fluorinated silica glass) 필름과 같은 무기 유리로부터 제조된다.
도 1b 및 도 1c를 참조하면, 듀얼 다마신(DD) 프로세스에서, 라인(1150) 및 비아(1170)의 위치는 각각 포토레지스트층(1500, 1510)에서 리쏘그래피 방식으로 한정되고, 반응성 이온 에칭(reactive ion etching: RIE) 프로세스를 이용하여 하드 마스크층 및 IMD층으로 전사된다. 도 1a 내지 도 1d에 도시한 프로세스 순서는 "라인 우선(line-first)" 접근법이라고 칭한다. 트렌치 형성 후에는, 포토레지스트층(1510)에 비아 패턴(1170)을 한정하기 위해서 리쏘그래피가 이용되고, 이 패턴이 유전체 물질 내로 전사되어, 도 1d에 도시되어 있는 바와 같이, 비아 개구부(via opening)(1180)를 생성하게 된다. 포토레지스트가 박리된 후의, 듀얼 다마 신 트렌치 및 비아 구조체(1190)가 도 1e에 도시되어 있다.
도 1f에 도시되어 있는 바와 같이, 이어서 함몰된 구조체(1190)는 도체 금속 배선 및 비아를 보호하는 작용을 하고 도체와 IMD 간의 접착층(adhesion layer)으로서 작용을 하는 전도성 라이너 물질(liner material) 또는 물질 스택(material stack)(1200)에 의해 코팅되어 있다. 이어서, 그 함몰부(recess)는 패턴화된 기판의 표면 상에 걸쳐 전도성 충전 물질(conducting fill material)(1210)로 채워진다. 이 충전(fill)은 가장 통상적으로는 구리를 전기 도금함으로써 달성되지만, 화학 기상 증착(chemical vapor deposition: CVD)과 같은 다른 방법 및 알루미늄이나 금과 같은 다른 물질도 이용될 수 있다. 이어서, 그 충전 물질 및 라이너 물질은 하드 마스크의 표면과 공면이 되도록 화학-기계적 폴리싱(chemical-mechanical polish: CMP)으로 처리되며, 이 단계에서의 구조체가 도 1f에 도시되어 있다. 도 1g에 도시되어 있는 바와 같이, 노출된 금속 표면을 부동태화 처리하기 위해서 그리고 금속과 그 위에 증착되는 임의의 부가적인 IMD층 간의 확산 베리어로서 작용하도록 하기 위해서 캡핑 물질(capping material)(1220)이 블랭킷 필름(blanket film)으로서 증착된다. PECVD에 의해 증착된 질화규소, 탄화규소 및 탄질화규소(silicon carbonitride) 필름이 일반적으로 캡핑 물질(1220)로서 사용된다. 이 프로세스 순서가 디바이스 상의 인터커넥트의 각 레벨에 대해 반복된다. 2개의 인터커넥트 피처가 동시에 한정되어 단일의 폴리싱 단계에 의해 절연체 내에 매립된 도체를 형성하기 때문에, 이 프로세스는 듀얼 다마신 프로세스라고 칭한다.
커패시턴스를 낮추기 위해서, PECVD 이산화규소계 유전체(k = 3.6 내지 4.1) 대신에 2.5 내지 3.1 범위에 있는 k 값을 갖는 PECVD 오가노실리케이트 또는 스핀-온(spin-on) 오가노실리케이트와 같은 보다 낮은 k의 유전체를 사용하는 것이 반드시 필요하다. 이러한 오가노실리케이트는 수소 및/또는 알킬기 또는 아릴기와 같은 유기 기가 망상구조내 Si 원자에 직접 부착되어 있는 실리카 유사 골격(silica like backbone)을 갖는다. 그것의 원소 조성은 일반적으로 다양한 비율로 Si, C, O, 및 H로 이루어져 있다. C 및 H는 메틸기(-CH3)의 형태로 존재하는 것이 가장 빈번하다. 이러한 메틸기의 주기능은 물질에 소수성을 부가하는 것이다. 부차적인 기능은 그 필름내 자유 부피(free volume)를 형성하며 그리고 그 필름의 분극율(polarizability)을 감소시키는 것이다. k 값은 그 필름의 절연체에 다공성을 도입함으로써 2.2(극도로 낮은 k)(ultra low k), 심지어는 2.0 미만(극한으로 낮은 k)(extremely low k)으로 감소될 수 있다. 간단 명료화를 위하여, 이와 같이 극도로 낮은 k 물질 및 극한으로 낮은 k 물질은 본 명세서에서 총괄적으로 매우 낮은 k 물질(very low k material)이라고 칭한다.
조정가능한 k 값의 범위가 이러한 일련의 매우 낮은 k 물질에 의해 가능하ㄱ긴 하지만, 상기 설명한 듀얼 다마신 프로세스에 의해 또는 듀얼 다마신 프로세스의 임의의 다른 변형에 의해 그러한 물질을 구리 인터커넥트로 집적화하는 데 있어 몇가지 난점이 존재한다. 주요 난점은, Si-유기기 결합부(Si-organic group linkage)(예를 들어, Si-메틸)의 산화 또는 절단(cleavage)의 상대적 용이성 때문에, 즉 이는 결과적으로 주변 환경에 있는 수분과의 잠재적인 반응을 통해 필름 내 에 실라놀(Si-OH) 기의 형성을 초래하기 때문에, 오가노실리케이트계 물질이 플라즈마 노출에 아주 민감하다는 점이다.
실라놀은 물을 흡수하고, 따라서 필름의 유전 상수 및 유전체 손실 인자(dielectric loss factor)을 상당히 증가시키며, 그에 따라 매우 낮은 k 필름으로부터 기대되는 성능 이점을 무효화시키게 된다. 실라놀은 또한 필름에서의 전기 누출(electrical leakage)을 증가시키고, 따라서 잠재적으로 신뢰할 수 없는 인터커넥트 구조체를 형성하게 된다. 상기한 바와 같이 반응성 이온 에칭 및 플라즈마 에칭이 듀얼 다마신 트렌치 및 비아 구조체의 형성에서, 그리고 매우 낮은 k 물질을 패턴화하는 데 사용되는 포토레지스트의 제거에서 요구되는 주요 단계이기 때문에, 종래 기술의 듀얼 다마신 집적화 동안에 이러한 부류의 필름의 플라즈마 손상을 피하는 것이 불가능하지는 않지만 아주 어렵다.
He, H2, N2, CO 등의 일부 또는 전부로 이루어진 비산화 레지스트 박리 플라즈마(non-oxidizing resist strip plasma)를 사용하여 낮은 k 필름에서의 소수성의 손실을 최소화하기 위해서 몇 가지 시도가 행해지고 있다. 그렇지만, 유의할 점은 이들 플라즈마 화학 물질 중 어느 것도 매우 낮은 k 물질의 소수성의 손실을 방지하는 데 완전히 성공하지는 않는다는 것이다. 이것은 아주 큰 표면적을 가지며 레지스트 박리 프로세스 동안 쉽게 손상되는 다공성의 낮은 k 물질의 경우에 특히 그러하다.
낮은 k 물질이 그 소수성 및 그 유전 특성을 상실하는 것을 방지하기 위한 다른 방법은 플루오르화 또는 비플루오르화 유기 중합체계 낮은 k 물질, 예컨대 다우 케미칼(Dow Chemical)의 SiLK™ 유전체, 허니웰(Honeywell)의 Flare™ 및 다른 폴리이미드, 벤조시클로부텐, 폴리벤즈옥사졸, 폴리페닐렌 에테르계 방향족 열경화성 중합체; 및 화학 기상 증착된 중합체, 예컨대 듀얼 다마신 프로세싱과 연관된 전통적인 프로세스 플라즈마 노출 동안 쉽게 손상되지 않은 폴리 파라크실릴렌을 사용하는 것이다. 그렇지만, 이들 물질은 낮은 열 팽창 및 작은 기공 크기와 같은 낮은 k 유전체 필름에 요구되는 다른 특성을 지니고 있지 않다.
오가노실리케이트계 다공성 물질의 성공적인 집적화에 직면한 다른 문제점은 그 물질이 CMP, 다이싱(dicing) 및 패키징(packaging) 조작에서의 종종 장애를 유발하는 낮은 탄성 계수(elastic modulus), 파괴 인성(fracture toughness) 및 경도(hardness)로 인해 기계적으로 아주 약한 취성을 지닌다는 점이다. 이러한 수지의 기계적 강도는 공극 부피(void volume)는 물론 화학적 구조 둘다에 의존한다. 그 기계적 강도는 다공성의 증가 뿐만 아니라 실록산 골격의 케이지형 구조(cage-like structure)의 증가에 따라 감소한다. 낮은 유전 상수를 유지하는 것이 필수적이기 때문에, 동일한 기계적 강도를 유지하면서 공극 부피를 감소시키는 것이 아주 어렵다.
약한 기계적 강도를 갖는 다공성 오가노실리케이트 물질을 처리하기 위한 몇 가지 방법(Padhi et al., J. Electjcochem. Soc. , 150 (1) , G10-G14, (2003) 및 본 발명의 양수인과 동일한 양수인에게 양도된 Canaperi et al.의 미국 특허 출원 공개 US2004/0087135 A1)이 제안되었지만, 이들 방법 대부분은 이들 방법이 비표준 프로세스 흐름도 또는 비표준 도구를 필요로 한다는 사실로 인해 구현하기가 어렵다. 따라서, 이들은 생산에서 구현하는데 비용이 많이 든다.
다공성 실리카계 필름에 관한 문헌에서, 예를 들어, 문헌[Prakash et al., Nature, 374, 439, (1995)]에서, 필름 형성 동안에 소수성 말단 기를 도입하기 위한 표면 변형(surface modification)이 습식 화학 처리에 의해 달성되며, 그 처리에서는 실릴화제(트리메틸클로로실란)(Trimethylchlorosilane: TMCS)가 낮은 표면 장력 케리어 용매에 의해 다공성 망상구조 내로 도입된다. 실릴화(silylation)라고 칭하는 이 반응은 형성 프로세스 하에 있는 필름의 경우에 실현가능한데, 그 이유는 다량의 자유 부피 및 달리 축합 및 가교될 다량의 실라놀이 존재하기 때문이다. 현재까지, 필름에 손상을 주는 프로세스 화학 물질에 노출된 후에도, 형성 프로세스 하에 있는 필름보다 더 적은 실라놀을 갖는 완전 형성된 필름에 대해 유사한 반응이 수행될 수 있는지 여부가 명백하지 않다. HMDS(hexamethy disilazane)를 실릴화제로서 사용하여 손상 후의 다공성 OSG 필름의 소수성 및 탄소 함량을 복원하려는 시도가 수행된 문헌[Chang et al., J. Electrochem Soc, 149, 8, F81 - F34, 2002)]에 의해 공개된 연구가 있었다. 그렇지만, 그 연구 결과로부터 분명히 이해할 수 있는 바와 같이, 임의의 매질 내의 HMDS는 다공성 OSG 필름의 특성을 완전히 복원할 수 없다.
이와 유사하게, TMCS도 역시 유전체 특성을 복원하는 데 완전히 효과적인 것은 아니다. HMDS 및 TMCS 둘다는 낮은 k 물질의 표면 및 기공 벽 상의 분자 당 단 일의 실라놀 기만을 공격하는 일작용성 실릴화제(monofunctional silylating agent)이다. 그렇지만, 오가노실리케이트계 낮은 k 물질은 다음과 같이 분류되는 2가지 서로 다른 유형의 실라놀을 갖는다(Gun'ko et al., J. Colloid and Interface Sci 228, 157-170 (2000). 첫 번째 유형의 실라놀은 그 자체로서 (1) 근방에 이웃하는 실라놀을 전혀 갖지 않는 완전 비상호작용적인 단일 실라놀(단리된 실라놀(isolated silanol)이라고도 칭함), (2) 아주 약한 상호작용적인 실라놀, 및 (3) 약한 상호작용적 또는 비상호작용적인 제미날 실라놀(geminal silanol)(디실라놀(disilanol)이라고도 칭함)으로 이루어져 있는 비수소결합 실라놀(non-hydrogen bonded silanol)이다. 두 번째 유형의 실라놀은 수소 결합 실라놀이다. 대부분의 일작용성 실릴화제는 단리된 실라놀을 용이하게 공격 및 치환하지만, 일반적으로 다른 2가지 유형의 비수소 결합 실라놀을 용이하게 공격하지 않는다. 이러한 주된 이유는 입체 장애(steric hindrance)가 일작용성 실릴화제로 용이하게 1개 이상의 실라놀을 동시에 포획하는 것을 방해하기 때문이다. 게다가, 또한 침식성이 있는 반응 부산물을 배출하는 일 없이 낮은 k 물질의 표면 및 기공 벽을 용이하게 실릴화하기 위해서는 가장 반응성이 큰 작용가(functionality)를 지닌 실릴화제를 사용하는 것이 중요하다.
문헌[Hu et al., J. of Electrochem. Soc.,150 (4) F61-F66(2003)]에는 또한 낮은 k 물질의 특성을 복원하기 위해 실릴화제로서 디메틸디클로로실란(DMDCS)의 효능을 검사하는 연구가 공개되어 있다. 그렇지만, 이 연구에서는, 디메틸디클로로실란이 필름의 상부 표면 상에 단일층을 형성하며, 다공성 낮은 k 물질의 벌크에 침투하지 않는 것으로 보고되어 있다. 따라서, 적절한 실릴화 매질 뿐만 아니라 실릴화를 위한 조건이 사용되지 않는 한, 낮은 k 물질의 벌크 유전 특성을 복원하기가 어렵다. 게다가, 디메틸디클로로실란 및 TMCS와 같은 임의의 염소계 실릴화제의 부산물로 염화수소가 존재하며, 이것은 침식성이 있으며 구리를 함유하는 인터커넥트 구조체에서 사용될 수 없다.
그러므로, 본 발명의 양태는 증착 및 경화 후 다공성 오가노실리케이트 수지의 케이지형 대 망상구조형 비율(cage to network ratio)을 변경함으로써 기계적 강도를 증가시키는 저렴한 비파괴적 방법을 제공하는 데 있다.
그러므로, 본 발명의 목적은, 침식성 부산물을 산출하는 일 없이 프로세스 노출 후 물질의 소수성을 완전 복원하는, 일정 부류의 실릴화제 뿐만 아니라 이 실릴화제를 이용하는 프로세스 흐름도를 제공하는 데 있다.
본 발명의 추가 목적은 다공성 낮은 k 물질의 벌크에 침투하여 그 특성을 복원하도록 본 발명의 실릴화제를 도입시킬 수 있는 방법을 제공하는 데 있다.
본 발명의 추가 목적은, 기계적 강도를 증가시키기 위해서, 그리고 다공성 오가노실리케이트의 성공적인 집적화에 접하게 되는 주요 장애 중 일부를 극복하기 위해서, 증착 및 기공 형성 후 수지의 화학을 변경하는 데 있다.
본 발명에서 케이지형-망상구조형 비율을 변경시키는 방법은 또한 필름 내로 새로운 망상구조 형성 실록산 결합을 도입하고 이로써 유전 상수를 유의적으로 증가시키는 일 없이 기계적 특성을 개선시키는 실릴화에 의해 이루어진다. 그렇지만, 실릴화 반응이 일어나기 위해서는, 오가노실리케이트 필름이 다량의 실라놀을 가지고 있어야만 한다. 이러한 실라놀을 실릴화 이전에 제공하는 것, 그리고 필름을 강화시키기에 충분할 정도로 실릴화 반응이 일어나도록 보장하는 것도 역시 본 발명의 목적에 해당된다.
본 발명의 이점은, 극도로 낮은 k 금속간 유전체를 위한 물질 선택이 그 물 질에 대한 플라즈마 및 습식 세정 손상의 작용의 고려사항에 의해 제약될 필요가 없다는 점인데, 그 이유는 그 물질이 본 발명에 개시된 실릴화 방법을 이용함으로써 손상된 후에 그 원래의 특성으로 복원될 수 있기 때문이다. 게다가, 플라즈마 노출에 의해 손상되는 필름의 특성을 복원하는 신뢰성 있는 방법의 이용가능성은 듀얼 다마신 제조에서 요구되는 반응성 이온 에칭(RIE) 및 레지스트 박리 조작에서의 보다 많은 프로세스 옵션을 가능하게 해주며, 그 결과 보다 안정되고 보다 저렴한 프로세싱이 얻어질 수 있다. 마지막으로, 본 발명은 IMD로서 사용하고자 하는 다공성 오가노실리케이트 필름의 기계적 안정성(mechanical robustness)을 증가시키는 방법을 제공한다.
따라서, 본 발명은 반도체 칩 또는 칩 캐리어 또는 반도체 웨이퍼 내의 낮은 유전 상수 또는 매우 낮은 유전 상수의 절연층에 사용되는, 규소 원자에 부착된 수소 원자 또는 알킬기 또는 아릴기를 갖는 낮은 유전 상수 또는 매우 낮은 유전 상수의 오가노실리케이트 필름의 특성을 복원하는 방법에 관한 것으로, 여기서 상기 오가노실리케이트 필름은 그 특성을 열화시키는 경향이 있는 프로세싱을 수행한다. 상기 방법은, 상기 필름이 소수성을 갖도록, 상기 필름에 아미노실란을 포함하는 실릴화제(silylating agent)를 도포하는 단계를 포함한다. 상기 아미노실란은 일반식 (R2N)XSiR'Y를 가질 수 있으며, 여기서 X 및 Y는 각각 1 내지 2의 정수 및 3 내지 2의 정수이며, R 및 R'은 수소, 알킬, 아릴, 알릴, 페닐 및 비닐 부위로 이루어지는 군으로부터 선택된다. 아미노실란은 비스(디메틸아미노)디메틸실란인 것이 바람직하다.
상기 아미노실란은 일반식 (R2N)XSiR'YR"Z를 가질 수 있으며, 여기서 X, Y 및 Z는 각각 1 내지 3의 정수, 3 내지 0의 정수, 및 3 내지 0의 정수이며, 단 X, Y 및 Z의 합은 4이고, R, R' 및 R"은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이다.
본 발명은 또한 필름이 소수성을 갖도록, 필름에 실릴화제를 도포하는 단계로서, 상기 실릴화제는 RXHYSi-A 형태를 가지며, 여기서 X 및 Y는 각각 0 내지 2의 정수 및 3 내지 1의 정수이고, R은 임의의 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔, 클로로, 아미노 또는 알콕시 부위인 것인 단계를 포함하는 동일한 일반적인 방법에 관한 것이다. 상기 실릴화제는 아미노, 클로로 및 알콕시 종결된 일작용성 실릴화제(terminated monofunctional silylating agent)를 포함할 수 있으며, 여기서 상기 실릴화제 상의 메틸 부위는 적어도 부분적으로 수소 유사체(hydrogen analogues)에 의해 대체된다. 상기 실릴화제는 또한 아미노, 알콕시 또는 실라잔 종결된 말단 기(terminated end group)를 지닌 중합체 실록산을 포함할 수 있다. 중합체 실록산의 말단 기는 모노- 또는 디- 알킬, 아릴, 비닐 또는 수소 부위를 포함할 수 있다. 실록산은 아미노 종결된 폴리디메틸실록산을 포함할 수 있다.
상기 실릴화제는 또한 일반식 RXHYSiZA를 가지며, 여기서 X 및 Y는 각각 0 내지 5의 정수 및 6 내지 1의 정수이고, Z는 1 내지 2이며, R은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔, 클로로, 아미노 또는 알콕시 부위이다.
본 발명에 따르면, 상기 프로세싱은 필름을 에칭하는 단계, 및 상기 필름으로부터 포토레지스트 물질을 제거하는 단계를 포함할 수 있으며, 상기 실릴화제는 상기 에칭 단계 및 상기 제거 단계 이후에 도포된다. 상기 에칭 및 상기 제거 단계는 상기 필름을 플라즈마에 노출시킴으로써 수행할 수 있다. 단일 다마신 또는 듀얼 다마신 프로세싱이 이용될 수 있으며, 실릴화제의 도포 단계는 인터커넥트 라인 및 비아 중 적어도 하나를 한정한 후에, 그리고 전기 도체를 증착하기 전에 수행할 수 있다. 실릴화제의 도포 단계는 전도성 라이너(conducting liner)를 증착하기 이전에 수행한다.
실릴화제는 액체를 스핀 코팅하는 것, 기판을 액체 내에 함침시키는 것, 기판을 액체, 기체 상태 또는 초임계 이산화탄소에 용해된 상태로, 바람직하게는 알칸, 알켄, 케톤, 에테르 및 에스테르 중 적어도 하나를 포함하는 군으로부터 선택된 공용매와 함께 분무 코팅하는 것에 의해 도포될 수 있다. 유의해야 할 점은 실릴화제를 수분이 없는 상태 하에서 도포해야 한다는 점이다. 이 필름은, 양호하게는 1분 초과 시간 동안 적어도 350℃의 온도에서 또는 450℃ 정도로 높은 온도에서, 어닐링될 수 있다. 이 어닐링은 실릴화제를 도포하기 이전에 또는 이후에 수행할 수 있다. 실릴화제는 적어도 25℃의 온도에서 도포하는 것이 바람직하다. 이 어닐링은 비실릴화된 실라놀을 축합하는 것 및 추가의 실록산 결합을 형성하는 것 중 적어도 하나를 용이하게 해주기 위해 수행된다.
실릴화제는, 알칸, 알켄, 케톤, 에테르, 에스테르, 또는 이들의 임의의 조합물로 이루어진 군으로부터 선택되는 낮은 표면 장력을 갖는 비극성 유기 용매(non-polar organic solvent)를 비롯한, 용매에 용해될 수 있다. 양호하게는, 이 용매는 필름 내의 기공에 침투할 정도로 충분히 낮은 표면 장력을 갖는다. 이 실릴화제는 양호하게는 용매 중에서 2 중량% 내지 10 중량%의 농도를 가질 수 있지만, 용매 중에서 0.5 중량% 이상 정도로 낮은 농도를 가질 수도 있다.
실릴화제는, 실온 이상에서, 1 분 내지 1 시간의 시간 동안 도포될 수 있다. 실릴화제가 도포될 때, 교반(agitation) 또는 초음파 처리(ultrasonification)가 이용될 수 있다. 이 필름은 과량의 실릴화제를 제거하기 위해서 세정될 수 있다. 이 필름은 양호하게는 450℃까지의 온도에서 소성 처리될 수 있다.
실릴화제는, 실온과 450℃ 사이의 온도에서 30초 내지 1 시간의 시간 동안, 또는 실질적으로 250℃에서 5분의 시간 동안, 기체 상태로 도포될 수 있다. 실릴화제는 초임계 이산화탄소 중에서, 25℃ 내지 450℃의 온도에, 1000 내지 10,000 psi의 압력으로, 30초 내지 1 시간의 시간 동안, 도포될 수 있다. 실릴화제는 또한 초임계 이산화탄소 또는 증기 매질 중에서 75℃를 넘는 온도에서 30초를 넘는 시간 동안 도포될 수 있다.
실릴화제는 이작용성(difunctional)인 것이 바람직하다. 이는 (비스)디메틸아미노디메틸실란 또는 (비스)디메틸아미노메틸실란을 포함할 수 있다.
실릴화제를 도포하는 단계는, 실라놀을 필름 내로 도입시키는, 자외선 방사, 오존에의 노출, 또는 약한 산화성 플라즈마(mildly oxdizing plasma)에의 노출 또 는 이들의 조합 중 하나로 필름을 처리한 후에 수행한다. 이 방법은 화학 기상 증착 챔버 또는 원자층 증착 챔버(atomic layer deposition chamber)에서 수행될 수 있다.
본 발명에 따른 방법에 의해 복원되는 특성은 소수성, 탄성 계수, 낮은 유전 상수, 파괴 인성 및 경도, 유전 파괴 강도(dielectric breakdown strength), 낮은 유전 누설(dielectric leakage) 및 유전체 신뢰도(dielectric reliability) 중 적어도 하나를 포함한다. 이러한 복원된 필름이 집화적되어 있는 인터커넥트 구조체는 부가적으로, 이산화규소, 플로오르화된 테트라에틸 오르토실리케이트, 플루오르화된 실리카 유리, 플루오르화된 또는 비플루오르화된 유기 중합체, 열경화성 중합체 및 화학적 기상 증착된 중합체로 이루어진 군으로부터 선택되는 하나 이상의 금속간 유전체를 포함할 수 있다. 열경화성 중합체는 폴리페닐렌 에테르를 주성분으로 한다. 화학적 기상 증착된 중합체는 폴리 파라크실릴렌일 수 있다. 부가적인 금속간 유전체는 폴리이미드, 벤조사이클로부텐, 폴리벤즈옥사졸, 방향족으로 이루어진 군으로부터 선택되는 유기 중합체일 수 있다.
본 발명은 또한 내부에 형성된 복수의 전기 도체를 갖는 절연 물질; 및 규소 원자에 부착된 수소 원자, 알킬기 또는 아릴기를 갖는 오가노실리케이트 필름을 포함하는 금속간 유전체를 포함하며, 상기 오가노실리케이트 필름의 표면은 상기 방법들에서 언급한 실릴화제 중 하나와 상기 오가노실리케이트 필름 사이의 반응 생성물을 포함하는 것인 제조 물품에 관한 것이다. 상기 물품은 반도체 칩, 반도체 칩 캐리어 또는 반도체 웨이퍼로서 구성될 수 있다. 상기 표면은 상기 필름의 외부 표면 또는 상기 필름 내부의 기공의 표면일 수 있다.
본 발명에 대해 기술된 변형예는 각각의 구체적인 적용에 바람직한 임의의 조합으로 실현될 수 있다. 따라서, 구체적인 적용에 대하여 구체적인 이점을 가질 수 있는 본 명세서에 기술된 구체적인 한정예 및/또는 실시 개량예는 모든 적용에 대하여 이용할 필요가 있는 것은 아니다. 또한, 본 발명의 하나 이상의 개념을 포함하는 방법, 시스템 및/또는 장치에서 모든 한정예가 꼭 구현될 필요가 있는 것은 아님을 이해해야 한다.
본 발명의 일차적인 실시양태(이후부터 "제1 실시양태"라고 함)은 유전체 특성의 복원에 아주 효과적인 실릴화제인 새로운 부류의 실릴화제의 사용에 관한 것이다. 게다가, 본 발명의 제1 실시양태는 또한 다공성 낮은 k 물질의 외부 표면 뿐만 아니라 벌크(모든 내부 기공 벽을 포함함)가 소수성을 갖도록 보장하기 위해서 그러한 실릴화제를 프로세스 내로 도입되는 방법에 관한 것이다. 마지막으로, 본 발명의 제2 실시양태는, 실릴화제로서 더 효과적이 되도록 하기 위해서, 종래 기술에서 사용되는 실라잔(silazane)과 같은 부위(moiety) 상에서의 특이적 분자 변형을 개시한다.
본 발명의 제1 실시양태에서, 본 발명의 실릴화제는 인터커넥트 라인 및 비아를 한정한 후에, 그리고 인터커넥트 금속을 포함하는 전도성 라이너 및 충전 물질을 증착하기 전에 인터커넥트 구조체를 형성하기 위한 단일 또는 듀얼 다마신 프로세스 내로 도입된다. 구체적으로, 실릴화제는 낮은 k 물질의 반응성 이온 에 칭(RIE)에 이어서 레지스트가 박리된 후에 도입된다. 도 1에 도시된 것과 같은 듀얼 다마신 스킴이 사용되는 경우, 본 발명의 실릴화제는 도 1e와 도 1f의 프로세스 단계 사이에 도입된다. 본 발명에서 상세히 설명된 실릴화제는 라인 레벨(line level) 또는 비아 레벨(via level)에 또는 그 둘 다에 조밀성 또는 다공성 오가노실리케이트를 갖는 인터커넥트 구조체에서 사용될 수 있다. 게다가, 실릴화제는 다른 오가노실리케이트와 조합하거나, 또는 SiO2, FSG, 플루오르화된 테트라에틸 오르토실리케이트(FTEOS), 또는 플루오르화된 또는 비플루오르화된 유기 중합체와 같은 물질과 조합하여 다공성 오가노실리케이트를 사용할 때의 구조체에 사용될 수 있다. 열거된 다른 물질들이 그 구조체의 일부일 수 있지만, 이들은 일반적으로 프로세싱 동안 본 명세서에 기술된 종류의 손상을 받지 않으며, 따라서 그 자체로서 실릴화 처리에 대하여 변경되지 않는다.
도 2의 개략도는 본 발명에서 사용되는 실릴화제가 낮은 k 오가노실리케이트 필름에서, 일반적인 프로세스 플라즈마 노출 동안 그 제거를 수행한 후에, 메틸 부위를 복원하는 것을 어떻게 성공하는지를 입증하여 보여준다. 반응 부위(reaction site)에서 이탈하는 실릴화제의 기("이탈기")는 새로운 실록산 결합을 형성하는 실라놀과 반응하여 그 실라놀을 탈양성자화하는 기이다. 따라서, 이탈기의 반응성은 실릴화 반응의 효능을 결정한다.
본 발명의 제1 실시양태에서, 일반식 (R2N)XSiR'Y(여기서, X 및 Y는 각각 1 내지 2의 정수 및 3 내지 2의 정수임)으로 통용되고 있는 한 부류의 실릴화제는, 결과적으로 인터커넥트 금속을 보유하게 되는 라인 및 비아를 한정한 후에, 도입된다. 상기 일반식에서, R 및 R'은 필름이 소수성을 갖도록 만들 수 있는 임의의 수소, 알킬, 아릴, 페닐, 알릴, 또는 비닐 부위일 수 있다. 이러한 실릴화제는 일반적으로 아미노실란이라고 칭하며, 본 문헌의 이후 설명에서와 같이 칭할 것이다. 상기 실릴화제는 각각 X의 값이 1인지 2인지에 따라 일작용성(monofunctional) 또는 이작용성(difunctional)이라는 용어로 사용된다. 아미노실란은 스핀-온 프로세스(spin-on process)에 의해, 액체 상태로, 기체 상태로 (반응로 내에서 또는 CVD 챔버 내에서), 또는 초임계 이산화탄소 매질 중의 상태로 도입되지만, 모든 경우에 있어서는 주변 수분가 완전히 없는 상태에서 실릴화제를 처리하는 것이 아주 중요한데, 그 이유는 존재할지도 모르는 임의의 수분이 실릴화 반응의 효능을 감소시킬 수 있기 때문이다. 게다가, 실릴화 및 이것의 후속 어닐링의 조합 또는 어닐링 및 이것의 후속 실릴화 또는 고온 실릴화(양호하게는, 350℃ 보다 높음)의 조합이 실릴화 그 자체만보다는 양호한데, 그 이유는 그러한 조합이 결과적으로 필름에서의 실라놀 함량을 최대 감소시키기 때문이다. 어닐링 단계는 또한 필름에서의 임의의 남아 있는 비실릴화된 실라놀을 축합시키고 필름을 강화시키는 추가의 실록산 결합의 형성을 가능하게 해준다.
아미노실란이 액체 매질 중에서 사용되는 경우, 아미노실란은 기공이 효과적으로 침투될 수 있도록, 낮은 표면 장력을 갖는 임의의 비극성 유기 용매에 용해되는 것이 바람직하다. 이러한 용매의 예는 헥산, 헵탄, 크실렌 등을 포함하지만, 이에 한정되는 것은 아니다. 용매는, 인화점(flash point) 및 끓는점으로 측정되 는 바와 같이, 낮은 휘발성을 갖는 것이 바람직하지만 꼭 그럴 필요는 없다. 효과적인 실릴화에 필요한 아미노실란의 농도는 0.5 중량%의 용액 정도로 낮을 수 있거나, 아미노실란은 그 자체로서 그의 희석된 액체 형태로 사용될 수 있다. 가장 효과적인 실릴화를 위한 원하는 범위는 일반적으로 2% 내지 10%의 용액이다. 이 용액은 다공성 낮은 k 필름에 스핀 코팅될 수 있거나, 다공성 낮은 k 필름에 한정된 인터커넥트 피처를 갖는 웨이퍼가 1분 내지 1시간 이상의 범위에 이르는 시간 동안 함침되는 습식 화학 탱크(wet chemical tank)에서 사용될 수 있다. 실릴화의 온도는 실온이거나 그 이상일 수 있다. 함침 동안 교반 또는 초음파 처리가 반응을 촉진하기 위해 필요하지는 않지만, 일부 용도에서는 반응 속도를 향상시키는 데 도움이 될 수 있다. 실릴화를 수행한 후, 웨이퍼는 순수 용매에서 세정되고 이어서 열판(hot plate) 상에서 또는 반응로에서 450℃까지의 온도로 가열될 수 있다. 액체 상태 실릴화는 또한 상기 단락에서 정의된 용액을 사용하고 이 용액을 스핀 코팅 또는 분무 코팅하여 수행할 수 있다.
아미노실란을 사용하여 기체 상태 실릴화를 수행할 때, 캐리어 가스는 불활성이고 비산화성이며, 챔버는 수분가 없는 것이 중요하다. 챔버가 수분이 없지 않은 경우, 이작용성 및 삼작용성 아미노실란은 올리고머화되어 단일층 또는 필름을 각각 형성하는 경향이 있다. 이러한 단일층 및 필름의 형성은 바람직하지 않은데, 그 이유는 실릴화제의 필름과의 반응성이 일반적으로 느려지게 되고, 게다가 그 처리가 또한 상부 표면에 국한되며, 또 필름의 벌크 내의 기공이 소수성을 갖지 않게 되기 때문이다. 기체 상태 실릴화는 실온에서 450℃에 이르는 범위의 온도에서 30 초 내지 1시간 이상의 범위에 이르는 시간 동안 수행할 수 있다. 기체 상태 실릴화에 양호한 시간 및 온도는 250℃에서 5분이다. 기체 상태 실릴화를 수행한 후에는, 450℃의 온도까지의 선택적인 열판 소성 처리 또는 반응로 경화 처리를 이용할 수 있다. 유전체 필름의 기체 상태 처리는, 화학적 기상 증착(CVD) 또는 원자층 증착(ALD)의 경우 반도체 산업에서 사용되는, 자유 직립 반응로(free standing furnace)에서, 플로-쓰루 챔버(flow-through chamber)에서, 또는 프로세싱 챔버에서 수행할 수 있다. 마지막 2가지 옵션이 특히 매력적인데, 그 이유는 이들 챔버가 수분, 기체 상태 화학종(vapor species)의 도입 및 기판 가열을 실질적으로 배제하는 베이스 진공(base vacuum)의 형성을 취급하도록 설계되어 있기 때문이고 유전체가 적절한 증기 전구체를 사용하는 CVD 또는 ALD를 사용하여 용이하게 행해질 수 있는 인터커넥트 금속 증착 단계 직전에 현장에서(in situ) 실릴화될 수 있기 때문이다.
아미노실란이 초임계(SC) 이산화탄소(CO2) 매질 중에 함유되어 도입될 때, 이들은 그 자체로 도입되거나 임의의 적당한 공용매와 함께 도입될 수 있다. SC CO2 기초한 실릴화에 대한 온도, 압력 및 시간 범위는 다음과 같을 수 있다: 온도: 25℃ 내지 450℃, 압력: 1,000 내지 10,000 psi, 시간: 30초 내지 1시간 이상.
75℃를 넘는 온도에서 30초를 넘는 시간 동안 SC CO2 또는 증기 매질에서 (비스)디메틸아미노디메틸실란(BDMADMS) 또는 (비스)디메틸아미노메틸실란과 같은 이작용성 아미노실란을 사용하고, 이어서 1분을 넘는 시간 동안 40℃에서 어닐링 처리하는 것이 바람직하다. 이작용성 실릴화제는 일반적으로 그 단일작용성 대응물보다 더 효과적인데, 그 이유는, 도 3b에 나타낸 바와 같이, 이작용성 실릴화제가 2개의 이웃하는 비수소 결합된 실라놀, 특히 제미날 실라놀(geminal silanol)을 동시에 포획할 용량을 갖기 때문이다(도 3b는 2개의 이웃하는 단리된 실라놀을 도시한 것이다). 일작용성 실릴화제는 일반적으로, 도 3a에 나타낸 바와 같이, 다른 일작용성 실릴화제가 이웃하는 실라놀과 용이하게 반응하지 못하게 입체적으로 방해하는 3개의 메틸 부위로 인해 2개의 이웃하는 실라놀을 포획할 수 없다. 삼작용성 실릴화제는 가교 결합하여 낮은 k 필름의 기공을 침투하지 않는 필름을 형성하는 경향을 갖는다. 게다가, 삼작용성 실릴화제가 3개의 실라놀을 동시에 포획하는 것이 가능하지 않은 사실로 인해, 실릴화제의 비반응된 말단 상에 추가적인 실라놀 형성의 가능성이 있다.
도 4는 일작용성, 이작용성 및 삼작용성 염소 종결된 실릴화제 간의 비교를 도시한 것으로, 여기서 실릴화는 수분이 없는 환경에서 액체 상태로 수행된다. 도 4의 FTIR 스펙트럼으로부터, 이작용성 제제(difunctional agent)가 필름의 메틸 함유량의 증가 및 실라놀 함량의 감소의 최적 조합을 보여준다는 것을 알 수 있다. 반응의 부산물이 침식성이 없다는 추가적인 이점을 갖는 아미노 종결된 실릴화제를 사용하면, 유사한 효과가 달성될 수 있다.
도 5에 도시된 바와 같이, BDMADMS에 의한 액체 상태 실릴화 및 후속되는 400℃에서의 어닐링은 다공성 낮은 k 필름의 소수성 및 메틸 함량을 복원한다.
표 1a 및 표 1b는 본 발명의 양호한 제제 BDMADMS에 의해 달성되는 접촉 각(contact angle)과 종래 기술에서 사용되는 실릴화제 HMDS에 의해 달성되는 접촉간의 비교를 나타낸 것이다. 표 1a에서 알 수 있는 바와 같이, BDMADMS는 접촉각을 복원하는 데 보다 더 효과적이다. 표 1b는 BDMADMS의 효과가 주변에 4주간 노출한 후에도 감소되지 않는 반면, HMDS 실릴화된 낮은 k 물질의 접촉각이 감소하여 유전체 특성의 점진적인 열화를 나타낸다는 점을 보여준다. 표 2는, 일반적인 프로세스 플라즈마에 대한 노출 후 k가 증가된 후에, BDMADMS가 다공성 낮은 k 필름의 k를 복원함을 보여준다. 이와 유사하게, 유전체 손실 뿐만 아니라 유전체 파괴 강도가 BDMADMS로 처리된 필름의 경우에는 그의 원래의 값으로 복원된다.
<표 1a> 실릴화 직후
처리 접촉각(도)
본래의 필름
플라즈마 노출 후
HMDS 실릴화 후 + 400℃ 어닐링
BDMADMS 실릴화 후 + 400℃ 어닐링
104
0.5
85
107.8
<표 1b> 실릴화의 4주 후
처리 접촉각(도)
본래의 필름
플라즈마 노출 후
HMDS 실릴화 후 + 400℃ 어닐링
BDMADMS 실릴화 후 + 400℃ 어닐링
104
0
81.3
107.7
<표 2>
필름/처리 유전 상수
본래의 필름
플라즈마 노출 후 + 400℃ 어닐링
BDMADMS 실릴화 후 + 400℃ 어닐링
2.1
2.42
1.95
도 6으로부터, 실릴화는 오가노실리케이트의 구조적 형태(structural morphology)를 변경하며 골격을 케이지형(cage like)보다 더 많은 망상구조형(network like)으로 만들며, 그 결과로부터 기계적 특성이 향상된다는 것을 알 수 있다. 이것은 실릴화 반응이 필름의 기계적 강도를 향상시키는 실록산 결합을 형성하는 새로운 망상구조를 형성한다는 사실에 기인한다. 필름에서의 망상구조의 범위를 알려주는 약 1067(1/cm)의 파수에서의 적외선 피크는, 도 6의 FTIR 스펙트럼에서 알 수 있는 바와 같이, 실릴화 처리에 의한 현저한 높이 증가를 나타낸다. 표 3을 참조할 수 있다.
<표 3>
클로로실란 아미노실란 알콕시실란
이탈기
해리 에너지
Me3Si-X(kcal/mol)
HCL
117
NHR2
98
ROH
123
상기한 바와 같이, 실릴화 반응, 이에 후속되는 반응로 어닐링 처리는 임의의 남아 있는 실라놀을 축합하며, 기계적 강도를 추가적으로 향상시키는 새로운 실록산 결합을 형성한다.
제2 실시양태
제1 실시양태는 일반적으로 이작용성 실릴화제의 효능을, 구체적으로 BDMADMS의 효능을 보여준다. 제1 실시양태는 또한, 실릴화제에 대한 3개의 메틸 부위에 의해 제공되는 입체 장애로 인하여, HMDS 및 TMCS와 같은 일작용성 실릴화제가 그 이작용성 대응물만큼 효과적이지 않음도 보여준다. 그렇지만, 실릴화제 상의 메틸 부위를 보다 작은 수소 부위로 적절히 치환시키는 것을 통해, 이러한 문제를 극복하는 것이 가능하다. 예를 들어, BEMDS 대신에, 테트라메틸디실라 잔(TMDS)을 사용하는 것은 결과적으로 입체 장애를 감소시키고 보다 효과적인 실릴화 반응을 생성한다. 이와 유사하게, 실릴화에서의 보다 큰 성공은 아미노, 클로로, 및 알콕시 종결된 일작용성 실릴화제로 증명될 수 있으며, 여기서 메틸 부위는 적어도 부분적으로 수소 유사체에 의해 치환된다. 따라서, 일반식 RXHYSi-A(여기서, X 및 Y는 각각 0 내지 2의 정수 및 3 내지 1의 정수임)를 갖는 실릴화제가 효과적인 실릴화제로서 사용될 수 있다. 상기 실시양태에 기술된 바와 같이, 실릴화 반응과 후속적인 어닐링 처리는 임의의 남아 있는 실라놀을 축합하며, 기계적 강도를 추가적으로 향상시키는 새로운 실록산 결합을 형성한다.
제3 실시양태
다공성 낮은 k 필름의 기공을 침투할 필요가 없는 적용의 경우, 모노- 또는 디-알킬, 아릴, 비닐 또는 수소 부위를 지닌 아미노, 알콕시, 클로로 또는 실라잔 종결된 말단 기를 갖는 중합체 실록산은 낮은 k 필름의 상부 표면 상에 단층을 형성하기 위해서, 그리고 표면 소수성을 복원하기 위해서 사용될 수 있다. 이러한 실록산의 한 예로는 아미노 종결된 폴리디메틸실록산이 있다. 그러한 실록산은 인터커넥트 구조체의 형성을 위한 오가노실리케이트 내의 트렌치 및 비아를 형성하는 에칭 프로세스에 의해 생성된 간극 내로 실릴화제가 흘러들어가도록 그 분자량이 충분히 낮게 보장하는 것이 중요하다. 상기한 실시양태에 기술된 바와 같이, 실릴화 반응과 이에 후속적인 어닐링 처리는 임의의 남아 있는 실라놀을 축합하며, 기계적 강도를 추가적으로 향상시키는 새로운 실록산 결합을 형성한다.
제4 실시양태
실릴화제는 또한 필름이 증착된 직후에 도입될 수 있다. 이 경우의 효능은 증착 후 실라놀이 필름 내에 얼마나 많이 존재하는지에 따라 달려 있다. 본 실시양태에서, 실릴화제는 또한 필름 내로 실라놀을 도입하는 UV/오존 또는 약한 산화성 플라즈마 노출과 같은 처리 후에 도입될 수 있다. 이전의 실시양태에서, 실릴화 후에는 열적 어닐링 처리가 수행된다. 상기한 3가지 실시양태들 중 임의의 것에 기술된 실릴화제가 이러한 방식으로 이용될 수 있다. CVD 증착된 필름의 경우, 실릴화제는 동시 증착되거나 CVD 유전체를 위한 전구체와 함께 챔버 내에 도입될 수 있다.
유의할 점은 이상 설명한 내용이 본 발명의 보다 적절한 목적 및 실시양태 중 일부에 대하여 기술한 것이라는 점이다. 본 발명의 개념은 수 많은 적용에 대해 이용될 수 있다. 따라서, 구체적인 구성 및 방법에 대한 설명이 기술되어 있긴 하지만, 본 발명의 목적 및 개념은 다른 구성 및 적용에도 적합하고 응용가능하다. 당업자에게는 개시된 실시양태에 대한 다른 변형예가 본 발명의 사상 및 영역을 벗어나는 일 없이 이루어질 수 있다는 점을 명백히 이해할 수 있을 것이다. 기술된 실시양태들은 단지 본 발명의 보다 두드러진 특징 및 적용 중 일부만을 예시한 것에 불과한 것으로 해석되어야 한다. 다른 유익한 결과는 개시된 발명을 다른 방식으로 적용하거나, 또는 본 발명을 당업자가 알고 있는 방식으로 변형함으로써 실현될 수 있다. 따라서, 본 실시양태는 제한으로서 아니라 예로서 제공된 것이라는 것을 잘 알 것이다. 본 발명의 영역은 첨부된 청구의 범위에 의해 한정된다.
본 발명의 이러한 양태, 특징 및 이점과 다른 양태, 특징 및 이점은 도면과 관련하여 파악할 할 때 다음의 본 발명의 상세한 설명을 추가적으로 살펴보면 명백히 이해할 수 있을 것이다. 상기 도면을 간단히 살펴보면 다음과 같다.
도 1a 내지 도 1g는 표준의 듀얼 다마신 집적화 스킴에 대한 프로세스 흐름도를 도시한 개략도이다.
도 2는 매우 낮은 k 물질의 화학에 미치는 플라즈마 노출 및 실릴화의 효과를 예시하는 개략도이다.
도 3a는 일작용성 실릴화제가 어떻게 단지 하나의 단리된 실라놀만을 포획하고 이웃하는 실라놀을 차단하는지를 도시하는 개략도이다.
도 3b는 도 3a에서 사용된 실질화제의 이작용성 유사체(difunctional analog)가 2개의 이웃하는 실라놀을 어떻게 동시에 포획하는 데 성공하는지를 도시하는 개략도이다.
도 4a는 일작용성, 이작용성 및 삼작용성 실릴화제의 효과를 예시하는 일련의 FTIR 스펙트럼을 도시한 것이다.
도 4b는 도 4a의 일부를 확대한 도면이다.
도 5는 본래의(pristine) IMD, 플라즈마 손상된 IMD, BDMADMS 처리된 IMD, 및 BDMADMS 처리되고 어닐링된 IMD의 FTIR 스펙트럼 및 접촉각 데이터의 비교를 도시한 도면이다.
도 6은 본래의(pristine) 다공성 오가노실리케이트 IMD, 플라즈마 손상된 다 공성 오가노실리케이트 IMD, BDMADMS 처리된 다공성 오가노실리케이트 IMD, 및 BDMADMS 처리되고 어닐링된 다공성 오가노실리케이트 IMD에 대한 파수(wave number)의 함수로서의 적외선 흡광도를 나타내는 그래프이다.

Claims (2)

  1. 반도체 칩 또는 칩 캐리어 또는 반도체 웨이퍼 내의 3.1 이하의 유전 상수의 절연층에서, 규소 원자에 부착된 수소 원자 또는 알킬기 또는 아릴기를 갖는 오가노실리케이트 필름의 특성을 복원하는 방법(여기서, 상기 오가노실리케이트 필름은 그 특성을 열화시키는 경향이 있는 프로세싱을 수행함)으로서,
    상기 필름이 소수성을 갖도록, 상기 필름에 실릴화제를 도포하는 단계로서,상기 실릴화제는 일반식 RXHYSiZA를 가지며, 여기서 X 및 Y는 각각 0 내지 5의 정수 및 6 내지 1의 정수이고, Z는 2이며, R은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔인 것인 단계
    를 포함하는 방법.
  2. 제조 물품으로서,
    내부에 형성된 복수의 전기 도체를 갖는 절연 물질, 및
    규소 원자에 부착된 수소 원자 또는 알킬기 또는 아릴기를 갖는 오가노실리케이트 필름을 포함하는 금속간 유전체
    를 포함하고,
    상기 오가노실리케이트 필름의 표면은 실릴화제와 오가노실리케이트 필름과의 생성물을 포함하며, 상기 실릴화제는 일반식 RXHYSiZA를 가지며, 여기서 X 및 Y는 각각 0 내지 5의 정수 및 6 내지 1의 정수이고, Z는 2이며, R은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔인 것인 제조 물품.
KR1020097021356A 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품 KR100974042B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2004/035685 WO2006049595A1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007505A Division KR100935620B1 (ko) 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품

Publications (2)

Publication Number Publication Date
KR20090111883A KR20090111883A (ko) 2009-10-27
KR100974042B1 true KR100974042B1 (ko) 2010-08-05

Family

ID=34959194

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020107016122A KR101063591B1 (ko) 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
KR1020097021356A KR100974042B1 (ko) 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
KR1020097021355A KR100985613B1 (ko) 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107016122A KR101063591B1 (ko) 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020097021355A KR100985613B1 (ko) 2004-10-27 2004-10-27 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품

Country Status (5)

Country Link
EP (1) EP1812961A1 (ko)
JP (1) JP4594988B2 (ko)
KR (3) KR101063591B1 (ko)
CN (1) CN101048857B (ko)
WO (1) WO2006049595A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7446058B2 (en) 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
DE112007000177T5 (de) * 2006-09-07 2008-10-23 Tokyo Electron Limited Substratbearbeitungsverfahren und Speichermedium
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP4814054B2 (ja) * 2006-11-02 2011-11-09 三井化学株式会社 積層構造体、その製造方法、及び積層体構造を用いてなる半導体装置
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4977508B2 (ja) * 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
JP5132244B2 (ja) * 2007-10-18 2013-01-30 大陽日酸株式会社 絶縁膜のダメージ回復方法および回復剤
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP5404361B2 (ja) 2009-12-11 2014-01-29 株式会社東芝 半導体基板の表面処理装置及び方法
GB0921707D0 (en) * 2009-12-11 2010-01-27 Cambridge Display Tech Ltd Electronic devices
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
JP5662081B2 (ja) * 2010-08-20 2015-01-28 株式会社Screenホールディングス 基板処理方法および基板処理装置
DE102010040071B4 (de) 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
JP2012222329A (ja) * 2011-04-14 2012-11-12 Tokyo Electron Ltd 液処理方法及び液処理装置
US8575041B2 (en) 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
CN103426733A (zh) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 超低k介质层处理方法
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
JP6234898B2 (ja) 2013-09-25 2017-11-22 信越化学工業株式会社 フォトマスクブランクの製造方法
JP6258151B2 (ja) * 2013-09-25 2018-01-10 信越化学工業株式会社 フォトマスクブランクおよびその製造方法
KR20160116618A (ko) 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US10692773B2 (en) * 2018-06-29 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing low-K gate spacer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010101726A (ko) * 1999-01-26 2001-11-14 크리스 로저 에이취. 나노다공성 실리카필름의 표면개질을 위한 다관능성Si-기제 올리고머/폴리머의 사용
KR20030062237A (ko) * 2000-06-23 2003-07-23 허니웰 인터내셔널 인코포레이티드 유전 필름에 소수성을 복원시키는 방법 및 물질
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
DE69827259T2 (de) * 1997-07-15 2006-02-16 Asahi Kasei Kabushiki Kaisha Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
CA2384463A1 (en) * 1999-09-09 2001-03-15 Alliedsignal Inc. Improved apparatus and methods for integrated circuit planarization
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010101726A (ko) * 1999-01-26 2001-11-14 크리스 로저 에이취. 나노다공성 실리카필름의 표면개질을 위한 다관능성Si-기제 올리고머/폴리머의 사용
KR20030062237A (ko) * 2000-06-23 2003-07-23 허니웰 인터내셔널 인코포레이티드 유전 필름에 소수성을 복원시키는 방법 및 물질
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Also Published As

Publication number Publication date
KR20090113389A (ko) 2009-10-30
CN101048857A (zh) 2007-10-03
EP1812961A1 (en) 2007-08-01
JP2008518460A (ja) 2008-05-29
CN101048857B (zh) 2010-10-13
KR101063591B1 (ko) 2011-09-07
WO2006049595A1 (en) 2006-05-11
KR20090111883A (ko) 2009-10-27
JP4594988B2 (ja) 2010-12-08
KR100985613B1 (ko) 2010-10-05
KR20100088166A (ko) 2010-08-06

Similar Documents

Publication Publication Date Title
KR100974042B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7687913B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8470706B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
US7972976B1 (en) VLSI fabrication processes for introducing pores into dielectric materials
US7781332B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US20060220251A1 (en) Reducing internal film stress in dielectric film
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US20030219973A1 (en) Tri-layer masking architecture for patterning dual damascene interconnects
JP5324734B2 (ja) 誘電体材料とその製造方法
WO2009085098A1 (en) Vapor phase repair and pore sealing of low-k dielectric materials
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
CN1741254A (zh) 修复低k介电膜中的碳损耗
US20100301494A1 (en) Re-establishing a hydrophobic surface of sensitive low-k dielectrics in microstructure devices
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품
KR101443999B1 (ko) 반도체 장치의 제조 방법
US20060226516A1 (en) Silicon-doped carbon dielectrics
KR101013483B1 (ko) 반도체 장치의 제조 방법
US20130056874A1 (en) Protection of intermetal dielectric layers in multilevel wiring structures

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee