EP1812961A1 - Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics - Google Patents

Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Info

Publication number
EP1812961A1
EP1812961A1 EP04796562A EP04796562A EP1812961A1 EP 1812961 A1 EP1812961 A1 EP 1812961A1 EP 04796562 A EP04796562 A EP 04796562A EP 04796562 A EP04796562 A EP 04796562A EP 1812961 A1 EP1812961 A1 EP 1812961A1
Authority
EP
European Patent Office
Prior art keywords
recited
film
silylating agent
organosilicate
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP04796562A
Other languages
German (de)
French (fr)
Inventor
Nirupama Chakrapani
Matthew E. Colburn
Christos D. Dimitrakopoulos
Satyanarayana V. Nitta
Dirk Pfeiffer
Sampath Purushothaman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of EP1812961A1 publication Critical patent/EP1812961A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Definitions

  • This invention pertains to interconnect wiring networks on very high performance microelectronic chips used in computers, microprocessors, microcontrollers, sensors, communication devices and the like.
  • inventive structures described tterein pertain to significantly reducing the signal propagation delay associated with these wires.
  • inventive methods detailed and claimed provide the chemistry and processing required to recover- the dielectric properties of low dielectric constant dielectrics after they have been rendered hydrophilic by required plasma exposures and to the chemistry and method required to increase the mechanical strength, and maintain the low dielectric constant of poroi ⁇ s organosilicate dielectrics after they have been deposited and during the process of building an interconnect structure comprising these films.
  • This invention further pertains to methods which enable the successful integration of these materials into such chips.
  • High performance microprocessor, microcontroller and communication chips require -very high speed interconnects between the active transistor devices which are used to perform the various functions such as logical operations, storing and retrieving data, providing control signals, and the like.
  • the signal propagation delay in the interconnects is dependent on the RC product wherein, R denotes the resistance of the interconnect wires and C represents the overall capacitance of the interconnect scheme in which the wires are embedded.
  • Use of copper instead of aluminum as the interconnect wiring material has allowed the reduction of the resistance contribution to the RC product.
  • the current focus in the microelectronics industry is to reduce interconnect capacitance by the use of lower dielectric constant (k) insulators in building the multilayered interconnect structures on chips.
  • DD dual damascene
  • IMD inter metal dielectric
  • the via level dielectric 1110 and the line level dielectric 1120 are shown separately for clarity of the process flow description. In general, these two layers can be made of the same or different; insulating films and in the former case applied as a single monolithic layer.
  • a hard mask layer or a layered stack 1130 is optionally employed to facilitate etch selectivity and to serve as a polish stop.
  • the wiring interconnect network consists of two types of features: line features that traverse a distance across the chip, and the via features which connect lines in different levels of interconnects in a multilevel stack together.
  • both layers are made from an inorganic glass such as silicon dioxide (SiO 2 ) or a fluorinated silica glass (FSG) film deposited by plasma enhanced chemical vapor deposition
  • PECVD PECVD
  • the position of the lines 1150 and the vias 1170 are defined lithographically in photoresist layers 1500 and 1510 respectively, and transferred into the hard mask and IMD layers using reactive ion etching processes.
  • the process sequence shown in Figs Ia through Id is called a "line-first" approach.
  • lithography is used to define a via pattern 1170 in the photoresist layer 1510 and the pattern is transferred into the dielectric material to generate a via opening 1180, as illustrated in Fig. Id.
  • the dual damascene trench and via structure 1190 is shown in Fig. Ie after the photoresist has been stripped. As shown in Fig.
  • the recessed structure 1190 is then coated with a conducting liner material or material stack 1200 that serves to protect the conductor metal lines and vias and serve as an adhesion layer between the conductor and the IMD.
  • This recess is then filled with a conducting fill material 1210 over the surface of the patterned substrate.
  • the fill is most commonly accomplished by electroplating of copper although other methods such as chemical vapor deposition (CVD) and other materials such as aluminum or gold can also be used.
  • the fill and liner materials are then chemical-mechanical polished (CMP) to be coplanar with the surface of the hard mask and the structure at this stage is shown in Fig. If.
  • a capping material 1220 is deposited as a blanket film, as is depicted in Fig.
  • Ig to passivate the exposed metal surface and to serve as a diffusion barrier between the metal and any additional IMD layers to be deposited over them.
  • Silicon nitride, silicon carbide, and silicon carbonitride films deposited by PECVD are typically used as the capping material 1220. This process sequence is repeated for each level of the interconnects on the device. Since two interconnect features are simultaneously defined to form a conductor inlaid within an insulator by a single polish step, this process is designated a dual damascene process.
  • organosili-cates have a silica like backbone with hydrogen and/or organic groups such as alkyl or aryl groups attached directly to the Si atoms in the network.
  • Their elemental compositions generally consist of Si, C, O, and H in various ratios. The C and H are most often present in the form of methyl groups (-CH 3 ) . The primary function of these methyl groups is to add hydrophobic!ty to the materials.
  • a secondary function is to create free volume in these films and rreduce their polarizability.
  • the k value can be further reduced to 2.2 (ultra low k) and even below 2.0 (extremely low k) by introduction of porosity in these insulators.
  • these ultzra low k and extreme low k materials will be referred to collectively as very low k materials in this document.
  • silanol (Si-OH) groups in the film through a potential reaction with moisture in the ambient enviro-nment.
  • Silanols absorb water and hence Increase the dielectric constant and the dielectric loss factor of the film significantly, thus negating the performance benefits expected from the very low k films. They also increase the electrical leakage in the film and thus create a potentially unreliable interconnect structure. Since reactive ion etch and plasma etch are key steps required in the formation of the dual damascene trench and via structure as described above, and in the removal of photoresists used in patterning the very low k materials, it is very difficult, if not impossible, to avoid plasma damage of this class of films during a prior art dual damascene integration.
  • Another method to prevent the low k material from losing its hydrophobicity and its dielectric properties is the use of fluorinated or non-fluorinated organic polymer based low k materials such as Dow Chemical' s SiMf* 4 dielectric, Honeywell' s Flare 1 * 4 and other polyimides, benzocyclobutene, polybenzoxazoles, aromatic thermoset polymers based on polyphenylene ethers; and chemical vapor deposited polymers such as poly paraxylylene which are not susceptible to damage during traditional process plasma exposures associated with the dual damascene processing.
  • these materials do not possess the other properties required of a lO ⁇ * k dielectric film such as a low thermal expansion and small pore sizes.
  • organosilicate-based porous materials are very fragile mechanically due to their low elastic modulus, fracture toughness and hardness which often lead to failures in CMP, dicing and packaging operations.
  • the mechanical strength of these resins depends on both the void volume as well as their chemical structure. Their mechanical strength decreases with increasing porosity as well as increasing cage-like structure of the siloxane backbone. Since it is imperative that a low dielectric constant be maintained, it is very difficult to decrease the void volume While maintaining the same mechanical strength.
  • TMCS is not completely effective at recovering the dielectric properties either.
  • HMDS and TMCS are monofunctional siIyIating agents with the ability to attack only a single isolated siXanol group per molecule on the surface and pore wall off the low k material.
  • organosilicate based low Is materials have two distinct types of silanols which are classified as follows (Gun'ko et. al., J.
  • the firrst type of silanol is the non-hydrogen bonded silanoX which in itself consists of, (1) completely non-interacting single silanols (also called isolated silamols) which do not have any neighboring silanols nearby, (2) very weakly interacting silanols, and (3) weakly and non-interacting geminal silanols (also called disilanol) .
  • the second type of silanol is tine hydrogen bonded silanol. Most monofunctional silylafcion agents attack and replace the isolated silanols readily, but generally do not attack the other two types of non-hydrogen bonded silanols as readily.
  • the method by which the cage-network ratio is altered in this invention is also by silyla ⁇ tion which introduces new network forming siloxane bortds into the film and hence improves mechanical properties without a significant increase in dielectric constant.
  • silyla ⁇ tion introduces new network forming siloxane bortds into the film and hence improves mechanical properties without a significant increase in dielectric constant.
  • organosilicate film it is necessary for the organosilicate film to have an aZbundance of silanols. Providing these silanols prior to silylation and ensuring that the silylation reaction occurs to a sufficient extent to strengthen this film is also an object of this invention.
  • An advantage of this invention is that fclie material choice for ultra low k intermetal dielectri-cs need not be constrained by a consideration of the effects of plasma and wet cleaning damage to these materials because they can be restored to thei_r original properties after they have been damaged b>y employing the silylation methods taught in the present: invention.
  • this invention provides a method to increase the* mechanical robustness of the porous organosilicate films to be used as IMD f s.
  • the invention is directed to a method for restoring properties of a low k or very low k dielectric constant organosilicate film havi-ng hydrogen atoms or alkyl or aryl groups attached to silicon atoms, and used in a low very low dielectric constant as an insulating layer in a semiconductor ciaip, or chip carrier, or a semiconductor wafer whearein the organosilicate film has undergone processing/ tending to degrade its the properties.
  • the method comprises applying to the film a silylating agent comprising an aminosilane, so as to render the film hydrophobic.
  • the aminosilane may have the general formula (R 2 N) x SiR ⁇ where X and Y are integers from 1 to 2 and 2 to 1 respectively, and where R and R x are selected from the group consisting of hydrogen, alkyl, aaryl, allyl, phenyl and a vinyl moiety.
  • the aminosilane is bis(dimethylamino)dimethylsilane.
  • the aminosilane may have the general formula where X, Y and Z are integers from 1 to 3, 3 to 1 and 1 to 3 respectively, and where R, R v , and R NX are any hydrogen, alkyl, or aryl, allyJ., phenyl or vinyl moiety.
  • the invention is also directed to the same general method comprising applying to the film a. silylating agent, so as to render the film hydrophobic, said silylating agent having the form R x HySi-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, or aryl, allyl, phenyl or vinyl moiety and where A is a silazane, chloro, amino or alkoxy moiety.
  • the silylating agent may comprise amino, chloro and alkoxy terminated monofunctional terminated silylating agent, wherein methyl moieties on the silylating agent are at least partially replaced by hydrogen analogrues.
  • the silylating agent may also comprise a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups.
  • the end groups of the polymeric s ⁇ iloxanes may comprise mono or di alkyl, aryl, vinyl or hydrogen moieties.
  • the siloxane may comprise amiixo terminated polydimethylsiloxane.
  • the silylating agent also may have the general formula R x H 7 Si 2 A where X, and Y, are integers from 0 to 5, and 6 to 1 respectively and Z is equal to, 1 to 2 and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane, chlojro, amino or alkoxy moiety.
  • the processing may include etching of the film, and removing a. photresist material from the film, wherein the silylating agent is applied after the etching and the removing. The etching and removing may be performed by exposing the film -to a plasma.
  • Single damascene or a dual damascene processing may be used, and the applying of the silylating agent may be performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor. Applying of the silylating agent is performed prior to deposition of a conductive liner.
  • the silylating agent may be applied by one of spin coating a liquid, immersing the substrate in a liquid, spray coating the substrate with the liquid,, in a vapor phase, or dissolved in super critical carbon dioxide, preferably with a co-solvent selected frc * m the group comprising at least one of alkanes, alkenes, ketones, ethers, and esters.
  • the> silylating agent is applied in an absence of moisture.
  • the film may be annealed, preferably at a temperature of at least 350° C, or as high as 450° C for a period in excess of one minute. The annealing may £>e performed before or after applying the silylating agent.
  • the silylating agent is preferably applied at at temperature of at least 25° C.
  • the annealing is performed to facilitate at least one of condensing unsilylated silanols in the film, and forming additional siloxane bonds.
  • the silylating agent may be dissolved in a solvent, including a non-polar organic solvent with low surface tension selected from the group comprising alkanes, alkenes, ketones, ethers, esters, or any combinations thereof.
  • the solvent has a low enough surface tension so as to penetrate pores in the film.
  • the silylating agent may preferably have a concentration of between two per cent and ten per cent by weight in the solvent, but may also have a concentration of as low as one half per cent or greater by weight in the solvent.
  • the silylating agent may be applied for a period of time between one minute and one hour, at room temperature or higher. Agitation or ultrasonification may be utilized when the silylating agent is applied.
  • the film may be rinsed to remove excess silylating agent.
  • the film may be baked, preferably at a temperature of up to 450° C.
  • the silylating agent may be applied in a vapor phase, at temperatures between room temperature and 450° C, for a duration of thirty seconds to one hour, or of substantially 250° C, for a duration of five minutes.
  • the silylating agent may be applied in super critical carbon dioxide, at temperatures between 25° C and 450° C, at a pressure between 1000 and 10,000 psi, for a duration of thirty seconds to one hour.
  • Xt may also be applied in super critical carbon dioxide or vapor media at temperature in excess of 75° C for -times in excess of 30 seconds.
  • the silylating agent is preferably diffunctional. It may comprise comprises (Bis)dimethylamiriodimethylsilane or (Bis)dimethylaroinomethylsilane.
  • the step of applying the silylating agent follows treatment of the film with one of ultraviolet radiation, exposure to ozone, or exposure to a mildly oxidizing plasma or combinations thereof that introduces silanols into the film.
  • the method may be carried out in a chemical vapor deposition chamber, or an atomic layer deposition chamber.
  • the properties that are restored by the method in accordance with the invention include at least one of hydrophobic!ty, elastic modulus, -Low dielectric constant, fracture toughness and hardness, dielectric breakdown strength, low dielectric leakage and dielectric reliability.
  • the interconnect structure in which such a restored film is integrated may additionally include one or more intermetal dielectrics selected from the group consisting of silicon dioxide, fluorinated tetraethyl orthosilicate, fluorinated silica glass, fluorinated or non-fluo ⁇ rinated organic polymers, the ⁇ noset polymers, and chemical vapor deposited polymers.
  • the thermoset polymers may be based on polyphenylene ethers.
  • the chemical vapor deposited polymer may be poly parajcylylene.
  • the additional intermetal dielectrics may be an organic polymers selected from the group of polyimides, benzocyclobutene, polybenzoxazoles, aromatic.
  • the invention is also directed to an article of manufacture comprising an insulating mate-crial having a plurality of electrical conductors formed therein; and an intermetal dielectric including an oarganosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of the reaction between one of the silylating agents mentioned in the methods set forth above, and the O-cganosilicate film.
  • the article may be configured as a semiconductor chip, a semiconductor chip carrier or a semiconductor wafer.
  • the surface may be an external surface of the film or that of pores within the film.
  • Fig. Ia to Fig. Ig illustrate process flow for a standard dual damascene integration scheme
  • Fig. 2 Is a schematic diagram illustrating the effect of plasma exposure and silylation on the chemistry of the very low fc material
  • Fig. 3a is a schematic diagram showing how mono functional silylating agents capture only one isolated silanol and block the neighboring silanol;
  • Fig. 3b is a schematic showing htow the di functional analog of the agent used in 3a is successful at capturing two neighboring silanols simultaneously.
  • Fig. 4a shows a series of FTIR sp&ctra illustrating the effect of mono, di and tri ffunctional silylation agents
  • Fig. 4b is an enlarged potion of Fig. 4a.
  • Fig. 5 provides comparisons of FTXR spectra and contact angle data of pristine, plasma damaged, BDMADMS treated and BDMADMS treated and annealed XMD.
  • Fig. 6 is a graph of infrared absorbence as a function of wave number for pristine, plasma damaged, BDMADMS treated and BDMADMS treated -and annealed porous organosilicate IMD. DESCRIPTION OF THE INVENTION
  • invention 1 The primary embodiment of this invention (hereinafter “embodiment 1”) pertains to the use of a novel cla.ss of silylating agents which are very effective silyl_ating agents for recovery of dielectric properties.
  • embodiment 1 of this invention also pertains to a method by which these silylation agents are introduced into the process to ensure that the external surrface, as well as the bulk (including all the interior- pore walls) , of the porous low k material are rendered hydrophobic.
  • a second embodiment of this invention discloses specific molecular variations on moieties such as silazanes used in the prior art to render them more effective as silylating agents.
  • the silyHating agents of this invention are introduced into the single or dual damascene process for building an interconnect structure after the definition of the interconnect line and via and prior to the deposition of the conductive liner and fill materials which comprise the interconnect metal.
  • the silylatincj agents are introduced after the resist is stripped following the reactive ion etch (RIE) of the low k material.
  • RIE reactive ion etch
  • a dual damascene scheme such as the one depicted in Fig. 1 is used, the silylating agent of the present invention is introduced between process steps of Fig. Ie and Fig. If.
  • the silylating agents detailed in this invention can be used in interconnect structures which have dense or porous organosilicates at either "the line or the via level or both. Further, they can be used in structures when porous organosilicates are iased in combination with other organosilicates oar with materials such as SiO 2 , FSG, fluorinated tefcraethyl orthosilicate (FTEOS) , or fluorinated or non-fluorinated organic polymers. While the other materials listed may be part of the structure, they are generally not prone to damage of the kind described herein during processing and are thus not amenable to the silylation treatment, as such.
  • the schematic in Fig. 2 demonstrates how the silylating agents used in this invention succeed in restoring the methyl moieties in the low k organosilicate films following their removal during typical process plasma exposures.
  • the group of the silylating agent which leaves the reaction site (the "leaving group") is the group that reacts with and deprotonates the silanol forming a new siloxane bond.
  • the reactivity of the leaving group determines the efficacy of the silylation reaction.
  • a class of silylating agents which go by the general formula where X and Y are integers from 1 to 2 and 2 to 1 respectively, are introduced after the definition of line and via that will subsequently hold the interconnect metal.
  • R and R 1 could be any hydrogen, alkyl, aryl, phenyl, allyl or vinyl moiety that could render the film hydrophobic.
  • These silylating agents are generally called aminosilanes and they will be referred to as such in the remainder of this document. They are termed monofunctional or difunctional depending upon the value of x being 1 or 2 respectively.
  • the aminosilanes are introduced by a spin-on process, in liquid phase, in the vapor phase (in a furnace or in a CVD chamber) , or supercritical carbon dioxide media, but in all cases, it is very important to handle the silylating agent in the total absence of ambient moisture, since any moisture that might be present could reduce the efficacy of the silylation reaction. Further, a combination of a silylation followed by an anneal or an anneal followed by a silylation or high temperature
  • silylation is preferred to silylation by itself, as this results in the greatest decrease of silanol content in the film.
  • the anneal step also condenses any remaining unsilylated silanols in the film and enables the formation of additional siloxaite bonds which strengthen the film.
  • the aminosilaaies When used in a liquid medium, they should preferably ⁇ >e dissolved in any non-polar organic solvent that: has a low surface tension so that the pores can be penetrated effectively.
  • solvents include but are not limited to, hexanes, heptanes, xylenes, and the like. It is desirable but not necessary for -the solvent to have a low volatility as measured by its flash point and boiling point.
  • concentration of the aminosilanes necessary for effective silylation can be as low as 0.5% by weight of the solution or the aroinosilane can be used as such in its undiluted liqtiid form.
  • the desired range for the most effective siLylation is typically 2% to 10% in solution.
  • the solution can either be spin coated on to the porous low k fi_lm or used in a wet chemical tank in which the wafers wi.th the interconnect features defined in the porous low k film are immersed for a period ranging from 1 minute to 1 hour or more.
  • the temperature for tbe silylation can either be room temperature or higlier. Agitation or ultrasonification during the immersion is not necessary to facilitate the reaction but in sor ⁇ e applications may help enhance the rate of the reactdLon.
  • the wafers can be rinsed off in the pure solvent and then baked on a hot plate or in a furnace to a temperature up to 450 C. Liquid phase silylation can also be carried out by using the solution defined in the paragraph above and spin coating or spray coating this solution.
  • a vapor phase silylation is carried out with the aminosilanes, it is important for the carrier gas to be inert and non-oxidizing and for the chamber to be moisture free. In case the chamber is not free of moisture, the di and tri functional amino sxlanes will tend to oligomerize and form either monolayers or films respectively. The formation of monolayers and films is not desirable because the reactivity of the silylating agents with the film in general will be slowed down; further the treatment will also be limited to the top surface and the pores in the bulk of the film will not be rendered hydrophobic. Vapor phase silylation can be carried out at temperatures ranging from room temperature to 450° C for a duration ranging from 30 seconds to an hour or more.
  • a preferable time and temperature for the vapor phase silylation is 5 minutes at 250° C.
  • an optional hot plate bake or a furnace cure up to a temperature of 450° C can be employed.
  • the vapor phase treatment of dielectric films can be performed in free standing furnaces, flow through chambers or in processing chambers used in semiconductor industry for chemical vapor deposition (CVD) or atomic layer deposition (ALD) .
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • SC carbon dioxide
  • CO 2 carbon dioxide
  • the temperature, pressure and time ranges for the SC CO 2 based silylation can be as follows: Temperature: 25° C to 450° C, Pressure: 1,000 to 10,000 psi, Time: 30 seconds to 1 hour or more.
  • Difunctional silylating agents are generally more effective than their monofunctional counterparts since they have a capacity to capture two neighboring non-hydrogen bonded silanols, especially geminal silanols simultaneously as shown in Fig. 3b (Fig. 3b shows two neighboring isolated silanols) .
  • Monofunctional silylating agents are generally unable to capture two neighboring silanols due to the three methyl moieties sterically hindering another monofunctional silylating agent from reacting readily with the neighboring silanol as shown.
  • Trifunctional silylating agents have a tendency to cross-link and form films that do not penetrate the pores of a low k film. Additionally, due to the fact that it is not possible for trifunctional silylating agents to capture three silanols simultaneously, there is a possibility for additional silanol formation on the unreacted ends of the silylating agent.
  • Fig. 4 shows the comparison between mono, di and trifunctional chlorine terminated silylating agents where the silylation is performed in a moisture free environment in the liquid phase. From the FTIR spectra in Fig. 4, it can be seen that the difunctional agent shows the optimum combination of an increase in the methyl content of the film and a decrease in silanol content. A similar effect can be achieved with amino terminated silylation agents with the added benefit that the byproduct of the reaction is not corrosive.
  • liquid phase silylation by BDMADMS followed by an anneal at 400° C recovers the hydrophobicity and the methyl content of a porous low k film.
  • Tables IA and IB show a comparison of contact angles achieved by the preferred agent of this invention, BDMADMS, and that of the silylation agent HMDS used in the prior art. As can be seen from table Ia, BDMADMS is more effective in recovering the contact angle. Table Ib shows that fche effect of BDMM)MS is not diminished after 4 weeks of exposure to the ambient whilst the contact angle of the HMDS silylated low k material decreases showing a progressive deterioration in dielectric properties. Table 2 shows that BDMM)MS recovers the Ic of the porous low k film after it increases post exposure to a typical process plasma. Similarly, the dielectric loss as well as the dielectric brea ⁇ kdown strength recover back to their original values for films treated with BDMADMS.
  • silylation changes the structural morphology of the organosilicate and renders the backbone more netnoxk like rather than cage like, with the result that the mechanical properties are enhanced. This is due to the fact that the silylation reaction forms new network forming siloxane bonds which enhance the mechanical strength of the films.
  • the infrared peak at a ware number (of about 1067 (I/cm) which signifies the extent of the network structure in the film shows a marked, increase in height with the silylation treatment as seen in the FTIR spectra in Fig. 6. Reference is matde to Table 3.
  • the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • Embodiment 1 shows the efficacy of rii-Ftmotional silylation agents in general and BDMADMS in parrticular.
  • Embodiment 1 also shows that monofunctional si-lylating agents such as HMDS and TMCS are not as effective as their difunctional counterparts due to the* steric hindrance presented by the three methyl moieties on the silylating agent.
  • monofunctional si-lylating agents such as HMDS and TMCS are not as effective as their difunctional counterparts due to the* steric hindrance presented by the three methyl moieties on the silylating agent.
  • TMDS tetramethyldisilazane
  • si-lylating agents with the general formula R x HySi-A where X and Y are integers from 0 to 2 and 3 to 1 respectively can be used as effective silylating agents.
  • the silylation reaction is followed by a furnace anneal to condense any rremaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • polymeric siloxanes with amino, alkoxy, chloro or silazanes terminated end groups with mono or di alkyl, aryl, vinyl or hydrogen moieties on them can be used to form monolayers on the top surface of the low k film and recover surface hydrophobicity.
  • a siloxane is amino terminated polydimethylsiloxane.
  • Xt is important to ensure that the molecular weight is low enough so that the silylating agent flows into the gaps created by the etch process to form trench and via in the organosilicate for the formation of the interconnect structure.
  • the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • the silylating agent can also be introduced Iimmediately after the film is deposited.
  • the efficacy in this case depends on how many silanols are present in the film after deposition.
  • the silylation agent can also be introduced following a treatment such as UV/Ozone, or a mildly oxidizing plasma exposure that introduces silanols into the film.
  • the silylation is followed by a thermal anneal.
  • Silylating agents described in any of the above three embodiments can be employed in this manner.
  • the silylation agent can be either co-deposited or introduced into the chamber along with the precursor for the CVD dielectric.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Often used to reduce the RC delay in integrated circuits are dielectric films of porous organosilicates which have a silica like backbone with alkyl or aryl groups (to add hydrophobicity to the materials and create free volume) attached directly to the S:L atoms in the network. Si-R bonds rarely survive an exposure to plasmas or chemical treatments commonly used in processing; this is especially the case in materials with an open cell pore structure. When Si-R bonds are broken, the materials lose hydrophobicity, due to formation of hydrophilic silanols and low dielectric constant is compromised. A method by which the hydrophobicity of the materials is recovered using a novel class of silylation agents which may have the general formula (R2N)xSiR'y where X and Y are integers from 1 to 3 and 3 to I respectively, and where R and R' are selected from the group of hydrogen, alkyl, aryl, allyl and a vinyl moiety. Mechanical strength of porous organosilicates is also improved as a result of the silylation treatment.

Description

RECOVERY OF HYDROPHOBICITY OF LOW-K AND ULTRA LOW-K ORGANOSILICATE FILMS USED AS INTER METAL DIELECTRICS
FIELD OF THE INVENTION
This invention pertains to interconnect wiring networks on very high performance microelectronic chips used in computers, microprocessors, microcontrollers, sensors, communication devices and the like. In particular, the inventive structures described tterein pertain to significantly reducing the signal propagation delay associated with these wires. The inventive methods detailed and claimed provide the chemistry and processing required to recover- the dielectric properties of low dielectric constant dielectrics after they have been rendered hydrophilic by required plasma exposures and to the chemistry and method required to increase the mechanical strength, and maintain the low dielectric constant of poroiαs organosilicate dielectrics after they have been deposited and during the process of building an interconnect structure comprising these films. This invention further pertains to methods which enable the successful integration of these materials into such chips.
BACKGROUND OF THE INVENTION
High performance microprocessor, microcontroller and communication chips require -very high speed interconnects between the active transistor devices which are used to perform the various functions such as logical operations, storing and retrieving data, providing control signals, and the like. With progress in the transistor device technology leading to the present ultra large scale integration, the overall speed of operation of these advanced chips is beginning to be limited by the signal propagation delay in the interconnection wires between the individual devices on the chips. The signal propagation delay in the interconnects is dependent on the RC product wherein, R denotes the resistance of the interconnect wires and C represents the overall capacitance of the interconnect scheme in which the wires are embedded. Use of copper instead of aluminum as the interconnect wiring material has allowed the reduction of the resistance contribution to the RC product. The current focus in the microelectronics industry is to reduce interconnect capacitance by the use of lower dielectric constant (k) insulators in building the multilayered interconnect structures on chips.
One prior art method of creating interconnect wiring network on such small a scale is the dual damascene (DD) process schematically shown in Figs. Ia to Ig . Referring to Fig. Ia, In the standard DD process, an inter metal dielectric (IMD) , shown as two layers 1110, 1120 is coated on the substrate 1100. The via level dielectric 1110 and the line level dielectric 1120 are shown separately for clarity of the process flow description. In general, these two layers can be made of the same or different; insulating films and in the former case applied as a single monolithic layer. A hard mask layer or a layered stack 1130 is optionally employed to facilitate etch selectivity and to serve as a polish stop. The wiring interconnect network consists of two types of features: line features that traverse a distance across the chip, and the via features which connect lines in different levels of interconnects in a multilevel stack together. Historically, both layers are made from an inorganic glass such as silicon dioxide (SiO2) or a fluorinated silica glass (FSG) film deposited by plasma enhanced chemical vapor deposition
(PECVD) .
Referring to Fig. Ib and Fig. Ic, in the dual damascene process, the position of the lines 1150 and the vias 1170 are defined lithographically in photoresist layers 1500 and 1510 respectively, and transferred into the hard mask and IMD layers using reactive ion etching processes. The process sequence shown in Figs Ia through Id is called a "line-first" approach. After the trench formation, lithography is used to define a via pattern 1170 in the photoresist layer 1510 and the pattern is transferred into the dielectric material to generate a via opening 1180, as illustrated in Fig. Id. The dual damascene trench and via structure 1190 is shown in Fig. Ie after the photoresist has been stripped. As shown in Fig. If, the recessed structure 1190 is then coated with a conducting liner material or material stack 1200 that serves to protect the conductor metal lines and vias and serve as an adhesion layer between the conductor and the IMD. This recess is then filled with a conducting fill material 1210 over the surface of the patterned substrate. The fill is most commonly accomplished by electroplating of copper although other methods such as chemical vapor deposition (CVD) and other materials such as aluminum or gold can also be used. The fill and liner materials are then chemical-mechanical polished (CMP) to be coplanar with the surface of the hard mask and the structure at this stage is shown in Fig. If. A capping material 1220 is deposited as a blanket film, as is depicted in Fig. Ig to passivate the exposed metal surface and to serve as a diffusion barrier between the metal and any additional IMD layers to be deposited over them. Silicon nitride, silicon carbide, and silicon carbonitride films deposited by PECVD are typically used as the capping material 1220. This process sequence is repeated for each level of the interconnects on the device. Since two interconnect features are simultaneously defined to form a conductor inlaid within an insulator by a single polish step, this process is designated a dual damascene process.
In order to lower the capacitance/ it is necessary to use lower k dielectrics such as PECVD or spin-on organo-silicates which have k values in the 2.5 to 3.1 range instead of the PECVD silicon dioxide based dielectrics (k = 3.6 to 4.1). These organosili-cates have a silica like backbone with hydrogen and/or organic groups such as alkyl or aryl groups attached directly to the Si atoms in the network. Their elemental compositions generally consist of Si, C, O, and H in various ratios. The C and H are most often present in the form of methyl groups (-CH3) . The primary function of these methyl groups is to add hydrophobic!ty to the materials. A secondary function is to create free volume in these films and rreduce their polarizability. The k value can be further reduced to 2.2 (ultra low k) and even below 2.0 (extremely low k) by introduction of porosity in these insulators. For the purpose of brevity, these ultzra low k and extreme low k materials will be referred to collectively as very low k materials in this document.
Although a tunable range of k values is possible with this set of very low k materials, there are several difficulties in integrating these materials with copper interconnects by the dual damascene process described above or by any other variation of the dual damascene process. The chief difficulty is that the organosilicate-based materials are very sensiti-ve to plasma exposures because of the relative ease of oxidation or cleavage of the Si-organic group linkage
(for example, Si-methyl) which results in formation of silanol (Si-OH) groups in the film through a potential reaction with moisture in the ambient enviro-nment. Silanols absorb water and hence Increase the dielectric constant and the dielectric loss factor of the film significantly, thus negating the performance benefits expected from the very low k films. They also increase the electrical leakage in the film and thus create a potentially unreliable interconnect structure. Since reactive ion etch and plasma etch are key steps required in the formation of the dual damascene trench and via structure as described above, and in the removal of photoresists used in patterning the very low k materials, it is very difficult, if not impossible, to avoid plasma damage of this class of films during a prior art dual damascene integration.
Several attempts have been made to minimize the loss of hydrophobicity in the low k films using non-oxidizing resist strip plasmas consisting of some or all of He, H2, N2ir CO etc. However, it must be noted that none of these plasma chemistries completely succeed in preventing the loss of hydrophobicity of the very low k materials. This is especially the case for porous low k materials which have a very large surface area and are easily susceptible to damage during the resist strip processes.
Another method to prevent the low k material from losing its hydrophobicity and its dielectric properties is the use of fluorinated or non-fluorinated organic polymer based low k materials such as Dow Chemical' s SiMf*4 dielectric, Honeywell' s Flare1*4 and other polyimides, benzocyclobutene, polybenzoxazoles, aromatic thermoset polymers based on polyphenylene ethers; and chemical vapor deposited polymers such as poly paraxylylene which are not susceptible to damage during traditional process plasma exposures associated with the dual damascene processing. However, these materials do not possess the other properties required of a lOΛ* k dielectric film such as a low thermal expansion and small pore sizes.
Another problem facing the successful integration of organosilicate-based porous materials is that they are very fragile mechanically due to their low elastic modulus, fracture toughness and hardness which often lead to failures in CMP, dicing and packaging operations. The mechanical strength of these resins depends on both the void volume as well as their chemical structure. Their mechanical strength decreases with increasing porosity as well as increasing cage-like structure of the siloxane backbone. Since it is imperative that a low dielectric constant be maintained, it is very difficult to decrease the void volume While maintaining the same mechanical strength.
Several methods (Padhi et al., J. Electjcochem. Soc. , 150 (1) , G10-G14, (2003), and United States patent application publication US2004/0087135 Al of Canaperi et al, assigned to the same assignee as that of the present invention) have been proposed to handle porous organos-Llicate materials with weak mechanical strengths but most of these methods are difficult to implement due to the fact that these methods either involve a nonstandard process flow or a nonstandard. tool. Therefore they are expensive to implement in production.
In the literature on porous silica based films, (For example, Prakash et al., Nature, 374, 439, (1995)), surface modification to introduce hydrophobic end groups during film formation is accomplished by means of a wet chemical treatment wherein the s:i.lylating agent (Tri-methyl chloro silane - TMCS) is introduced into the porous network by means of a low surface tension carrier solvent. Such a reaction, called silylation, is feasible for films that are. in the process of forming since there is a great deal of free volume and an abundance of silanols that would otherwise condense and bridge. Thus far, it is not clear whether a similar reaction can be performed on fully formed films which, even after exposure to process chemistries that damage the film, have fewer silanols than the films that are in the process of forming. There have been studies published by Chang et. al., (*J. Ξlectrochem Soc, 149, 8, F81 - F34, 2002) where an attempt has been made to recover the hydrophobicity and the carbon content of the porous OSG film after damage using hexamethy disilazane (HMDS) as the silylating agent. However, it is clear fxrom their results that HMDS in any medium is unable to recover, completely, the properties of the porous OSG film. Similarly, TMCS is not completely effective at recovering the dielectric properties either. Both HMDS and TMCS are monofunctional siIyIating agents with the ability to attack only a single isolated siXanol group per molecule on the surface and pore wall off the low k material. However, organosilicate based low Is materials have two distinct types of silanols which are classified as follows (Gun'ko et. al., J. Colloid and Interface Sci 228, 157-170 (2000)): The firrst type of silanol is the non-hydrogen bonded silanoX which in itself consists of, (1) completely non-interacting single silanols (also called isolated silamols) which do not have any neighboring silanols nearby, (2) very weakly interacting silanols, and (3) weakly and non-interacting geminal silanols (also called disilanol) . The second type of silanol is tine hydrogen bonded silanol. Most monofunctional silylafcion agents attack and replace the isolated silanols readily, but generally do not attack the other two types of non-hydrogen bonded silanols as readily. ϋ*he primary reason for this is that steric hindrance prevents the simultaneous capture of more than one silajnol with a monofunctional silylating agent readily. Additionally, it is also important to use a silylating agent with the most reactive functionality to readily si_lylate the surface and pore walls of the low k material without releasing a byproduct of the reaction. that is corrosive. Hu et al., (J. of Electrochem. Soc.,150 (4) F61-F66
(2003) ) have also published a study where they examine the efficacy of dimethyldiαhloro silane (DM)CS) as a silylating agent to recover the properties of low k materials. However, in their study, they report that dimethyldichloro silane forms a monolayer on the top surface of the film and does not penetrate the bulk of the porous low k material. Thus, unless the appropriate silylating medium as well as conditions for the silylation are used, it is difficult to recover the bulk dielectric properties of the low k material. Additionally, the byproduct of any chlorine based silylating agent such as dimetfayldichloro silane and TMCS is hydrogen chloride, wh.±ch is corrosive and cannot be used in interconnect structures that contain copper.
SUMMftRY OF THE INVENTION
It is therefore an aspect of the present invention to provide a low cost, non-disruptive method to increase the mechanical strength by altering the cage to network ratio of the porous organosili.cate resin after the deposition and cure.
It is therefore an object of thi-s invention to provide a class of silylating agents as veil as a process flow to utilize them by means of whicta. the hydrophobicity of the materials is recovered completely post process exposure without yielding a corrosive byproduct. It is a further object of this invention to provide a method, by which the silylating agents of tϊi-Ls invention can be introduced such that they penetrate "the bulk of the porous low k material and recover the properties.
It is a further object of the invention to alter the chemistry of resins post deposition and poire formation to increase the mechanical strength and overcome some of the chief obstacles facing the successful integration of porous organosilicates.
The method by which the cage-network ratio is altered in this invention is also by silyla~tion which introduces new network forming siloxane bortds into the film and hence improves mechanical properties without a significant increase in dielectric constant.. However, for the silylation reaction to work, it is necessary for the organosilicate film to have an aZbundance of silanols. Providing these silanols prior to silylation and ensuring that the silylation reaction occurs to a sufficient extent to strengthen this film is also an object of this invention.
An advantage of this invention is that fclie material choice for ultra low k intermetal dielectri-cs need not be constrained by a consideration of the effects of plasma and wet cleaning damage to these materials because they can be restored to thei_r original properties after they have been damaged b>y employing the silylation methods taught in the present: invention.
Furtherr the availability of a reliable method to recover the properties of films damaged by plasma exposures allows more process options in t-fae reactive ion etch (RIE) and resist strip operations required in the dual damascene build and can in turn result in more robust and lower cost processing. Finally, this invention provides a method to increase the* mechanical robustness of the porous organosilicate films to be used as IMDfs.
Thus, the invention is directed to a method for restoring properties of a low k or very low k dielectric constant organosilicate film havi-ng hydrogen atoms or alkyl or aryl groups attached to silicon atoms, and used in a low very low dielectric constant as an insulating layer in a semiconductor ciaip, or chip carrier, or a semiconductor wafer whearein the organosilicate film has undergone processing/ tending to degrade its the properties. The method, comprises applying to the film a silylating agent comprising an aminosilane, so as to render the film hydrophobic. The aminosilane may have the general formula (R2N)xSiR\ where X and Y are integers from 1 to 2 and 2 to 1 respectively, and where R and Rx are selected from the group consisting of hydrogen, alkyl, aaryl, allyl, phenyl and a vinyl moiety. Preferably, the aminosilane is bis(dimethylamino)dimethylsilane.
The aminosilane may have the general formula where X, Y and Z are integers from 1 to 3, 3 to 1 and 1 to 3 respectively, and where R, Rv , and RNXare any hydrogen, alkyl, or aryl, allyJ., phenyl or vinyl moiety.
The invention is also directed to the same general method comprising applying to the film a. silylating agent, so as to render the film hydrophobic, said silylating agent having the form RxHySi-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, or aryl, allyl, phenyl or vinyl moiety and where A is a silazane, chloro, amino or alkoxy moiety. The silylating agent may comprise amino, chloro and alkoxy terminated monofunctional terminated silylating agent, wherein methyl moieties on the silylating agent are at least partially replaced by hydrogen analogrues. The silylating agent may also comprise a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups. The end groups of the polymeric s^iloxanes may comprise mono or di alkyl, aryl, vinyl or hydrogen moieties. The siloxane may comprise amiixo terminated polydimethylsiloxane.
The silylating agent also may have the general formula RxH7Si2A where X, and Y, are integers from 0 to 5, and 6 to 1 respectively and Z is equal to, 1 to 2 and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane, chlojro, amino or alkoxy moiety. In accordance with the invention, the processing may include etching of the film, and removing a. photresist material from the film, wherein the silylating agent is applied after the etching and the removing. The etching and removing may be performed by exposing the film -to a plasma. Single damascene or a dual damascene processing may be used, and the applying of the silylating agent may be performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor. Applying of the silylating agent is performed prior to deposition of a conductive liner.
The silylating agent may be applied by one of spin coating a liquid, immersing the substrate in a liquid, spray coating the substrate with the liquid,, in a vapor phase, or dissolved in super critical carbon dioxide, preferably with a co-solvent selected frc*m the group comprising at least one of alkanes, alkenes, ketones, ethers, and esters. Significantly, the> silylating agent is applied in an absence of moisture. The film may be annealed, preferably at a temperature of at least 350° C, or as high as 450° C for a period in excess of one minute. The annealing may £>e performed before or after applying the silylating agent. The silylating agent is preferably applied at at temperature of at least 25° C. The annealing is performed to facilitate at least one of condensing unsilylated silanols in the film, and forming additional siloxane bonds. The silylating agent may be dissolved in a solvent, including a non-polar organic solvent with low surface tension selected from the group comprising alkanes, alkenes, ketones, ethers, esters, or any combinations thereof. Preferably, the solvent has a low enough surface tension so as to penetrate pores in the film. The silylating agent may preferably have a concentration of between two per cent and ten per cent by weight in the solvent, but may also have a concentration of as low as one half per cent or greater by weight in the solvent.
The silylating agent may be applied for a period of time between one minute and one hour, at room temperature or higher. Agitation or ultrasonification may be utilized when the silylating agent is applied. The film may be rinsed to remove excess silylating agent. The film may be baked, preferably at a temperature of up to 450° C.
The silylating agent may be applied in a vapor phase, at temperatures between room temperature and 450° C, for a duration of thirty seconds to one hour, or of substantially 250° C, for a duration of five minutes. The silylating agent may be applied in super critical carbon dioxide, at temperatures between 25° C and 450° C, at a pressure between 1000 and 10,000 psi, for a duration of thirty seconds to one hour. Xt may also be applied in super critical carbon dioxide or vapor media at temperature in excess of 75° C for -times in excess of 30 seconds.
The silylating agent is preferably diffunctional. It may comprise comprises (Bis)dimethylamiriodimethylsilane or (Bis)dimethylaroinomethylsilane.
The step of applying the silylating agent follows treatment of the film with one of ultraviolet radiation, exposure to ozone, or exposure to a mildly oxidizing plasma or combinations thereof that introduces silanols into the film. The method may be carried out in a chemical vapor deposition chamber, or an atomic layer deposition chamber.
The properties that are restored by the method in accordance with the invention include at least one of hydrophobic!ty, elastic modulus, -Low dielectric constant, fracture toughness and hardness, dielectric breakdown strength, low dielectric leakage and dielectric reliability.. The interconnect structure in which such a restored film is integrated may additionally include one or more intermetal dielectrics selected from the group consisting of silicon dioxide, fluorinated tetraethyl orthosilicate, fluorinated silica glass, fluorinated or non-fluoαrinated organic polymers, theπnoset polymers, and chemical vapor deposited polymers. The thermoset polymers may be based on polyphenylene ethers. The chemical vapor deposited polymer may be poly parajcylylene. The additional intermetal dielectrics may be an organic polymers selected from the group of polyimides, benzocyclobutene, polybenzoxazoles, aromatic.
The invention is also directed to an article of manufacture comprising an insulating mate-crial having a plurality of electrical conductors formed therein; and an intermetal dielectric including an oarganosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of the reaction between one of the silylating agents mentioned in the methods set forth above, and the O-cganosilicate film. The article may be configured as a semiconductor chip, a semiconductor chip carrier or a semiconductor wafer. The surface may be an external surface of the film or that of pores within the film.
BRIEF DESCRIPTION OF THE DRAWINGS
These and other aspects, features, and advantages of the present invention will become apparent upon further consideration of the following detailed description of the invention when read in conjunction witti the drawing figures, in which:
Fig. Ia to Fig. Ig illustrate process flow for a standard dual damascene integration scheme; Fig. 2 Is a schematic diagram illustrating the effect of plasma exposure and silylation on the chemistry of the very low fc material;
Fig. 3a is a schematic diagram showing how mono functional silylating agents capture only one isolated silanol and block the neighboring silanol;
Fig. 3b is a schematic showing htow the di functional analog of the agent used in 3a is successful at capturing two neighboring silanols simultaneously.
Fig. 4a shows a series of FTIR sp&ctra illustrating the effect of mono, di and tri ffunctional silylation agents;
Fig. 4b is an enlarged potion of Fig. 4a.
Fig. 5 provides comparisons of FTXR spectra and contact angle data of pristine, plasma damaged, BDMADMS treated and BDMADMS treated and annealed XMD.
Fig. 6 is a graph of infrared absorbence as a function of wave number for pristine, plasma damaged, BDMADMS treated and BDMADMS treated -and annealed porous organosilicate IMD. DESCRIPTION OF THE INVENTION
Variations described for the present inventxosi can be realized in any combination desirable for each particular application. Thus particular limitations, and/or embodiment enhancements described herein, which may have particular advantages to the particular application need not be used for all applications. Also, it should be realized that not all limitations need be implemented in methods, systems and/or apparatus including one or more concepts o£ the present invention.
The primary embodiment of this invention (hereinafter "embodiment 1") pertains to the use of a novel cla.ss of silylating agents which are very effective silyl_ating agents for recovery of dielectric properties. Furrther, embodiment 1 of this invention also pertains to a method by which these silylation agents are introduced into the process to ensure that the external surrface, as well as the bulk (including all the interior- pore walls) , of the porous low k material are rendered hydrophobic. Finally, a second embodiment of this invention discloses specific molecular variations on moieties such as silazanes used in the prior art to render them more effective as silylating agents.
In embodiment 1 of this invention, the silyHating agents of this invention are introduced into the single or dual damascene process for building an interconnect structure after the definition of the interconnect line and via and prior to the deposition of the conductive liner and fill materials which comprise the interconnect metal. Specifically, the silylatincj agents are introduced after the resist is stripped following the reactive ion etch (RIE) of the low k material. Xf a dual damascene scheme such as the one depicted in Fig. 1 is used, the silylating agent of the present invention is introduced between process steps of Fig. Ie and Fig. If. The silylating agents detailed in this invention can be used in interconnect structures which have dense or porous organosilicates at either "the line or the via level or both. Further, they can be used in structures when porous organosilicates are iased in combination with other organosilicates oar with materials such as SiO2, FSG, fluorinated tefcraethyl orthosilicate (FTEOS) , or fluorinated or non-fluorinated organic polymers. While the other materials listed may be part of the structure, they are generally not prone to damage of the kind described herein during processing and are thus not amenable to the silylation treatment, as such.
The schematic in Fig. 2 demonstrates how the silylating agents used in this invention succeed in restoring the methyl moieties in the low k organosilicate films following their removal during typical process plasma exposures. The group of the silylating agent which leaves the reaction site (the "leaving group") is the group that reacts with and deprotonates the silanol forming a new siloxane bond. Thus, the reactivity of the leaving group determines the efficacy of the silylation reaction.
In embodiment 1 of this invention, a class of silylating agents which go by the general formula where X and Y are integers from 1 to 2 and 2 to 1 respectively, are introduced after the definition of line and via that will subsequently hold the interconnect metal. In the above formula, R and R1 could be any hydrogen, alkyl, aryl, phenyl, allyl or vinyl moiety that could render the film hydrophobic. These silylating agents are generally called aminosilanes and they will be referred to as such in the remainder of this document. They are termed monofunctional or difunctional depending upon the value of x being 1 or 2 respectively. The aminosilanes are introduced by a spin-on process, in liquid phase, in the vapor phase (in a furnace or in a CVD chamber) , or supercritical carbon dioxide media, but in all cases, it is very important to handle the silylating agent in the total absence of ambient moisture, since any moisture that might be present could reduce the efficacy of the silylation reaction. Further, a combination of a silylation followed by an anneal or an anneal followed by a silylation or high temperature
(preferably greater than 350 C) silylation is preferred to silylation by itself, as this results in the greatest decrease of silanol content in the film. The anneal step also condenses any remaining unsilylated silanols in the film and enables the formation of additional siloxaite bonds which strengthen the film.
When the aminosilaaies are used in a liquid medium, they should preferably ϊ>e dissolved in any non-polar organic solvent that: has a low surface tension so that the pores can be penetrated effectively. Examples of such solvents include but are not limited to, hexanes, heptanes, xylenes, and the like. It is desirable but not necessary for -the solvent to have a low volatility as measured by its flash point and boiling point. The concentration of the aminosilanes necessary for effective silylation can be as low as 0.5% by weight of the solution or the aroinosilane can be used as such in its undiluted liqtiid form. The desired range for the most effective siLylation is typically 2% to 10% in solution. The solution can either be spin coated on to the porous low k fi_lm or used in a wet chemical tank in which the wafers wi.th the interconnect features defined in the porous low k film are immersed for a period ranging from 1 minute to 1 hour or more. The temperature for tbe silylation can either be room temperature or higlier. Agitation or ultrasonification during the immersion is not necessary to facilitate the reaction but in sorαe applications may help enhance the rate of the reactdLon. Following the silylation, the wafers can be rinsed off in the pure solvent and then baked on a hot plate or in a furnace to a temperature up to 450 C. Liquid phase silylation can also be carried out by using the solution defined in the paragraph above and spin coating or spray coating this solution.
When a vapor phase silylation is carried out with the aminosilanes, it is important for the carrier gas to be inert and non-oxidizing and for the chamber to be moisture free. In case the chamber is not free of moisture, the di and tri functional amino sxlanes will tend to oligomerize and form either monolayers or films respectively. The formation of monolayers and films is not desirable because the reactivity of the silylating agents with the film in general will be slowed down; further the treatment will also be limited to the top surface and the pores in the bulk of the film will not be rendered hydrophobic. Vapor phase silylation can be carried out at temperatures ranging from room temperature to 450° C for a duration ranging from 30 seconds to an hour or more. A preferable time and temperature for the vapor phase silylation is 5 minutes at 250° C. Following vapor phase silylation, an optional hot plate bake or a furnace cure up to a temperature of 450° C can be employed. The vapor phase treatment of dielectric films can be performed in free standing furnaces, flow through chambers or in processing chambers used in semiconductor industry for chemical vapor deposition (CVD) or atomic layer deposition (ALD) . The last two options are particularly attractive since these chambers are designed to handle the creation of a base vacuum to substantially exclude moisture, the introduction of vapor species and substrate heating and because the dielectric can be silylated in situ just prior to the interconnect metal deposition steps which can be readily done using CVD or ALD using appropriate vapor precursors.
When aminosilanes are introduced in a supercritical
(SC) carbon dioxide (CO2) medium, they can either be introduced by themselves or in combination with any suitable co-solvent. The temperature, pressure and time ranges for the SC CO2 based silylation can be as follows: Temperature: 25° C to 450° C, Pressure: 1,000 to 10,000 psi, Time: 30 seconds to 1 hour or more.
The use of a difunctional aminosilane such as
(Bis)dimethylaminodimethylsilane (BDMADMS) or
(Bis)dimethylaminomethylsilane in either SC CO2 or vapor media at temperature in excess of 75° C for times in excess of 30 s followed by a 400° C anneal for periods in excess of 1 minute are preferred. Difunctional silylating agents are generally more effective than their monofunctional counterparts since they have a capacity to capture two neighboring non-hydrogen bonded silanols, especially geminal silanols simultaneously as shown in Fig. 3b (Fig. 3b shows two neighboring isolated silanols) . Monofunctional silylating agents are generally unable to capture two neighboring silanols due to the three methyl moieties sterically hindering another monofunctional silylating agent from reacting readily with the neighboring silanol as shown. In Fig. 3a. Trifunctional silylating agents have a tendency to cross-link and form films that do not penetrate the pores of a low k film. Additionally, due to the fact that it is not possible for trifunctional silylating agents to capture three silanols simultaneously, there is a possibility for additional silanol formation on the unreacted ends of the silylating agent.
Fig. 4 shows the comparison between mono, di and trifunctional chlorine terminated silylating agents where the silylation is performed in a moisture free environment in the liquid phase. From the FTIR spectra in Fig. 4, it can be seen that the difunctional agent shows the optimum combination of an increase in the methyl content of the film and a decrease in silanol content. A similar effect can be achieved with amino terminated silylation agents with the added benefit that the byproduct of the reaction is not corrosive.
As shown in Fig. 5, liquid phase silylation by BDMADMS followed by an anneal at 400° C recovers the hydrophobicity and the methyl content of a porous low k film.
Tables IA and IB show a comparison of contact angles achieved by the preferred agent of this invention, BDMADMS, and that of the silylation agent HMDS used in the prior art. As can be seen from table Ia, BDMADMS is more effective in recovering the contact angle. Table Ib shows that fche effect of BDMM)MS is not diminished after 4 weeks of exposure to the ambient whilst the contact angle of the HMDS silylated low k material decreases showing a progressive deterioration in dielectric properties. Table 2 shows that BDMM)MS recovers the Ic of the porous low k film after it increases post exposure to a typical process plasma. Similarly, the dielectric loss as well as the dielectric breaύkdown strength recover back to their original values for films treated with BDMADMS.
TABLE IA - Immediately After Silylation
TREATMENT CONTACT ANGLE (degrees)
Pristine Film 104
Post Plasma Exposure 0.5
Post HMDS silylattion + 400° C anneal 85 Post BDMM)MS siLylation + 400 ° C anneal 107.8
TABLE IS - Four Weeks After Silylation
TREATMENT CONTACT ANGLE (degrees)
Pristine Film 104
Post Plasma Exposure 0
Post HMDS silyla-fc±on 4- 400° C anneal 81.3 Post BDMADMS silylation + 400 ° C anneal 107.7 TABIiE 2
FILM/TREATMENT DIELECTRIC CONSTANT
Pristine Film 2.1 Post Plasma Exposure + 400° C anneal 2.42
Post BDMAOMS silylation + 400 ° C anneal 1.95
It can be seen from Fig. 6 that silylation changes the structural morphology of the organosilicate and renders the backbone more netnoxk like rather than cage like, with the result that the mechanical properties are enhanced. This is due to the fact that the silylation reaction forms new network forming siloxane bonds which enhance the mechanical strength of the films. The infrared peak at a ware number ( of about 1067 (I/cm) which signifies the extent of the network structure in the film shows a marked, increase in height with the silylation treatment as seen in the FTIR spectra in Fig. 6. Reference is matde to Table 3.
TABLE 3 Chlorosilane Ami nosilane Alkoxysilane
LEAVING GROUP HCL NHR2 ROH DISSOCIATION ENERGY 117 98 123
Me3Si-X (kcal/mol)
As described above, the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
Embodiment 2
Embodiment 1 shows the efficacy of rii-Ftmotional silylation agents in general and BDMADMS in parrticular. Embodiment 1 also shows that monofunctional si-lylating agents such as HMDS and TMCS are not as effective as their difunctional counterparts due to the* steric hindrance presented by the three methyl moieties on the silylating agent. However, through appropriately replacing the methyl moieties on the silylatiang agent with the smaller hydrogen moiety, it is possible to overcome this issue. For example, in place of IZMDS, the use of tetramethyldisilazane (TMDS) will result in reduced steric hindrance and a more effective silylation reaction. Similarly greater success in silylation can be demonstrated with amino, chJ-oro and alkoxy terminated mono functional silylation agents where the methyl moieties are at least partially replaced by their hydrogen analogs. Thus, si-lylating agents with the general formula RxHySi-A where X and Y are integers from 0 to 2 and 3 to 1 respectively can be used as effective silylating agents. As described in the embodiment above, the silylation reaction is followed by a furnace anneal to condense any rremaining silanols and form new siloxane bonds that further enhance the mechanical strength. TCmhodimenfc 3
Fox: applications where it is not necessary to penetrate the pores of the porous low k film, polymeric siloxanes with amino, alkoxy, chloro or silazanes terminated end groups with mono or di alkyl, aryl, vinyl or hydrogen moieties on them can be used to form monolayers on the top surface of the low k film and recover surface hydrophobicity. One example of such a siloxane is amino terminated polydimethylsiloxane. Xt is important to ensure that the molecular weight is low enough so that the silylating agent flows into the gaps created by the etch process to form trench and via in the organosilicate for the formation of the interconnect structure. As described in the embodiments above, the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
Embodiment 4
The silylating agent can also be introduced Iimmediately after the film is deposited. The efficacy in this case depends on how many silanols are present in the film after deposition. In this embodiment, the silylation agent can also be introduced following a treatment such as UV/Ozone, or a mildly oxidizing plasma exposure that introduces silanols into the film. As in previous embodiments, the silylation is followed by a thermal anneal. Silylating agents described in any of the above three embodiments can be employed in this manner. Zn the case of CVD deposited films,, the silylation agent can be either co-deposited or introduced into the chamber along with the precursor for the CVD dielectric.
It is noted that the foregoing has outlined some of the more pertinent objects and embodiments of the present invention. The concepts of this invention may be used for many applications. Thus, although the description is made for particular arrangements and methods, the intent and concept of the invention is suitable and applicable to other arrangements and applications. It will be clear to those skilled in the art that other modifications to the disclosed embodiments can be effected without departing from the spirit and scope of the invention. The described embodiments ought to be construed to be merely illustrative of some of the more prominent features and applications of the invention. Other beneficial results can be realized by applying the disclosed invention in a different manner or modifying the invention in ways known to those familiar with the art. Thus, it should be understood that the embodiments has been provided as an example and not as a limitation. The scope of the invention is defined by the appended claims.

Claims

CIAIMS :Having thus described our invention, what: we claim as new and desire to secure by Letters Patent is as follows:
1. A method for restoring properties of a low k or very low dielectric constant organosilicates film having hydrogen atoms or alfcyl or aryl groups attached to silicon atoms, and used in a low or very low dielectric constant insulating layer in a semiconductor chip, or chip carrier, or a semiconductor wafer wherein said organosilicate film has undergone processing tending to degrade the properties, the method comprising: applying to the film a silylating agent comprising an aminosilane, so as to render the film hydrophobic.
2. A method as recited in claim 1, wherein the aminosilane has the general formula where X and Y are integers from 1 to 2 and 3 to 2 respectively, and where R and R% are selected from the group consisting of hydrogen, alkyl, aryl, allyl^ phenyl and a vinyl moiety.
3. A method as recited in claim 1, wherein the silylating agent comprises: (Bis)dimethylaminodimethylsilane or (Bis)dimethylaminomethylsilane.
4. A method as recited in claim 1, wherein the aminosilane has the general formula (R2N)XS±R\R% \ where X, Y and Z are integers with x varying fzrom from 1 to 3, and Y and Z varying from 3 to 0 respectively but where x + y + z is always equal to 4 , and where R, R\ and R'"are any hydrogen, alkylr aryl, allyl, phenyl or vinyl moiety.
5. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, in -a low or very low dielectric constant insulating layer in a semiconductor chip , chip carrier, or a semiconductor wafer wherein said organosilicate film lias undergone processing tending to degrade its properties the method comprising: applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent having the form RxHySi-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety and where A is a , chloro, or alkocxy moiety.
6. A method for restoring properties of an organosilicate film having hydrogen atoms, or alkyl or aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a semiconductor chip , chip carrier, or a semiconductor wafer wherein said organosilicate film iias undergone processing tending to degrade its properties the method comprising: applying to the film a siIyIating agent, so as to render the film hydrophobic, said silylating agent comprising monofunctional terminating groups selected from amino, chloro or alkoxy groups and wherein methyl moieties on said silylating agent are at least partially replaced by hydrogen analogues.
7. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a semiconductor chip , chip carrier, or a semiconductor wafer wherein said organosilicate film has undergone processing tending to degrade its properties, the method comprising: applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent comprising a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups.
8. A method as recited in claim 7, wherein said end groups of the polymeric siloxanes comprise mono or di alkyl, aryl, vinyl or hydrogen moieties.
9. A method as recited in claim 7, wherein said, siloxane comprises amino terminated polydimethylsiloxane.
10. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl oar aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a. semiconductor chip, chip carrier, or a semiconductoar wafer wherein said organosilicate film has undergone processing tending to degrade its properties, the method comprising: applying to the film a silylating agent, so as to render the film hydrophobic, the silylating agent having the general formula RxHySi2A where X, and Y, and Z are integers from 0 to 5, and 6 to 1 and Z is equal to 2 respectively and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is at silazane.
11. A method as recited in 1, wherein the proαessingr includes etching of the film, and removing a photresisfc material from the film, wherein the silylating agent is applied after the etching and the removing.
12. A method as recited in claim 11, wherein the etching and removing are performed by exposing the film- to a plasma.
13. A method as recited in claims 1 wherein a singles damascene or a dual damascene processing is used, and. said applying of said silylating agent is performed, after definition of at least one of an interconnect. line and. a via, and prior to deposition of an electrical conductor.
14. A method as recited in claim 13, wherein said applying of said silylating agent is performed prior to deposition of a conductive liner.
15. A method as recited in claim 1, wherein said silylating agent is applied by one of spin coating a liquid, immersing the substrate in a liquid, spray coating the substrate with the liquid, in a vapor phase, or dissolved in super critical carbon dioxide.
16. A method as recited in claim 1, wherein said. silylating agent is dissolved in super critical carbon dioxide with a co-solvent selected from the group comprising at least one of alkanes, alkenes, ketones, ethers, and esters.
17. A method as recited in claim 1, wherein said silylating agent is applied in an absence of moisture.
18. A method as recited in claim 1, further comprising annealing the film.
19. A method as recited in claim 18, wherein the annealing is at a temperature of at least 350° C.
20. A method as recited in cslaim 18 , wherein said annealing is performed after applying said silylating agent .
21. A method as recited in cslaim 18 , wherein said annealing is performed before applying said silylating agent .
22 . A method as recited in claim 21 , wherein said applying of said silylating agent is performed at a temperature of at least 25° C .
23. A method as recited in claim 18, wherein said annealing is performed to facilitate at least one of condensing silanols in the film, and forming additional siloxane bonds .
24. A method as recited in claim 1 , wherein the silylating agent is dissolved in a solvent.
25. A method as recited in claim 24, wherein the solvent is a non-polar organic solvent with low surface tension selected from the groutp comprising alkanes, alkenes, ketones, ethers, esters, or any combinations thereof.
26. A method as recited in cslaim 24, wherein the solvent has a low enough surface tension so as to penetrate pores in said film.
27. A method as recited in claim 24, wherein said silylating agent has a concentration of between two per cent and ten per cent by weight in said solvent.
28. A method as recited in claim 24, wherein said silylating agent has a concentration of one half per cent or greater by weight in said solvent.
29. A method as recited in claim 1, wherein said silylating agent is applied for a period of time between thirty seconds and one hour.
30. A method as recited in claim 1, wherein said silylating agent is applied at room temperature or higher.
31. A method as recited in claim 1 , further comprising performing one of agitation or ultrasonification when the silylating agent is applied.
32. A method as recited in claim 1, further comprising rinsing the film to remove excess silylating agent.
33. A method as recited in claim 1, further comprising baking the film.
34. A method as recited in claim 33, wherein the baking is performed at a temperature of up to 450° C.
35. A method as recited in claim 1 , wherein the silylating agent is applied in a vapor- phase, at temperatures between room temperature and. 450° C, for a duration of thirty seconds to one hour.
36. A method as recited in claim 1, wherein the silylating agent is applied in a vaporr phase, at a temperatures of substantially 250° C, for a duration of five minutes.
37. A method as recited in claim 1r wherein the silylating agent is applied in super crritical carbon dioxide, at temperatures between 25° C and 450° C, at a pressure between 1,000 and 10,000 psi, ffor a duration of thirty seconds to one hour.
38. A method as recited in claim 1r wherein the silylating agent is difunctional.
39. A method as recited in claim 1, wherein the silylating agent is applied in super crritical carbon dioxide or vapor media at temperature in excess of 75° C for times in excess of 30 seconds.
40. A method as recited in claim 39, further comprising annealing the layer at substantially 400° C for a period in excess of one minute.
41. A method as recited in claim 1, wherrein said step of applying the silylating agent follows treatment of said film with one of ultraviolet radiation, exposure to ozone, exposure to a mildly oxidi-zing plasma or combinations thereof that introduces silanols into the film.
42. A method as recited in claim 1, carried out in a chemical vapor deposition chamber, or an atomic layer deposition chamber.
43. A method as recited in claim 2., wherein the properties that are restored include at least one of hydrophobicity, elastic modulus, low dielectric constant, fracture toughness and hardness, dielectric breakdown strength, low dielectric leakage and dielectric reliability.
44. A method as recited in claim 1, wherein said film includes one or more additional intermetal dielectrics.
45. A method as recited in claim 44r wherein said additional intermetal dielectrics are seXected from the group consisting of silicon dioxide, fluorinated tetraethyl orthosilicate, fluorinated silica glass, fluorinated or non-fluorinated organic polymers, thermoset polymers, and chemical vapor deposited polymers.
46. A method as recited in claim 44r wherein said additional intermetal dielectric is an ©organic polymer selected from the group of polyimides, benzocyclobutene, polybenzoxazoles, and aromatic thermosets.
47. Λ method as recited in claim 45, wherein said thermoset polymers are based on polyarylene ethers.
48. A method as recited in claim 45, wherein said chemical vapor deposited polymer is poly paraxylylene.
49. An article of manufacture comprising: an insulating material having a plurality of electrical conductors formed therein; and an intermetal dielectric including an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of reaction with an aminosilane silylating agent and an organosilicate of said film.
50. An article as recited in claim 49, wherein the aminosilane has the general formula (R2N)xSiR\ where X and Y are integers from 1 to 2 and 3 to 2 respectively, and where R and Rx are selected from the group consisting of hydrogen, alkyl, aryl, allyl, phenyl and a vinyl moiety.
51. An article as recited in claim 49, wherein the aminosilane is bis(dimethylamino)dimethylsilane.
52. An article as recited in claim 49, wherein the aminosilane has the general formula (R2N)xSiR YR" * s where X, Y and Z are integers with x varying from from 1 to 3, and Y and Z varying from 3 to 0 respectively but where x 4- y + z is always equal to 4 and where R, R", and R%*are any hydrogen, alkylr aryl, allyl, phenyl or vinyl moiety.
53. An article of manufacture comprising: an insulating material having a plurali_ty of electrical conductors formed therein; an intermetal dielectric including an organosilicate film having hydrogen atoms, alley1 or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of a silylating agent and an organosilicate of said film, so as to render the film hydrophobicM said silylating agent having the form RxHySi-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, aryl, allyl, jphenyl or vinyl moiety and where A is a chloro, or slkoxy moiety.
54. An article of manufacture comprising: an insulating material having a plurality of electrical conductors formed therein; an intermetal dielectric including/ an organosilicate film having hydrogen atoms or alJcyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of a silylating agent and an organosilicate of said film, so as to render the film hydrophobic, said silylating agent comprising monof-unctional groups selected from amino, chloro or alteoxy groups and wherein methyl moieties on said silylating agent are at least partially replaced by hydrogen analogues.
55. An article of manufacture comprising: an insulating material having a plurality of electrical conductors formed therein; an intermetal dielectric including an organosilicate film having hydrogen atoms, alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of a silylating agent and an organosilicate of said film, said silylating agent comp.rd.sing a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups.
56. An article as recited in claim 55, wherein said end groups of the polymeric siloxanes comprise mono or di alkyl, aryl, vinyl or hydrogen moieties.
57. An article as recited in claim 55, wherein said siloxane is amino terminated polydimetfaylsiloxane.
58. An article as recited in claim 55, wherein said siloxane is amino terminated polydimettαylsiloxane.
59. An article of manufacture comprising: an insulating material having a plurality of electrical conductors formed therein; an intermetal dielectric including an organosilicate film having hydrogen atoms, alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of a silylating agent and an organosilicate film, the silylating agent having the general formula RxHySiΞA where X, and Y are integers from 0 to 5, 6 to
1, and z is 2, respectively and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane.
60. An article as recited in claim 49, configured as a semiconductor chip, a semiconductor chip carrier or a semiconductor wafer.
61. An article as recited in claim 49, wherein said surface in an external surface of said film.
62. An article as recited in claim 49, wherein said surface includes that of pores within said film.
EP04796562A 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics Withdrawn EP1812961A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2004/035685 WO2006049595A1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Publications (1)

Publication Number Publication Date
EP1812961A1 true EP1812961A1 (en) 2007-08-01

Family

ID=34959194

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04796562A Withdrawn EP1812961A1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Country Status (5)

Country Link
EP (1) EP1812961A1 (en)
JP (1) JP4594988B2 (en)
KR (3) KR100985613B1 (en)
CN (1) CN101048857B (en)
WO (1) WO2006049595A1 (en)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7446058B2 (en) 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US20090286399A1 (en) * 2006-09-07 2009-11-19 Yasushi Fujii Substrate Processing Method and Storage Medium
JP4999419B2 (en) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 Substrate processing method, substrate processing system, and computer-readable storage medium
JP4814054B2 (en) * 2006-11-02 2011-11-09 三井化学株式会社 LAMINATED STRUCTURE, ITS MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE USING LAMINATE STRUCTURE
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4977508B2 (en) * 2007-03-26 2012-07-18 アイメック Method for processing damaged porous dielectric
JP5132244B2 (en) * 2007-10-18 2013-01-30 大陽日酸株式会社 Insulation film damage recovery method and recovery agent
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
EP2406267B1 (en) 2009-03-10 2019-02-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cyclic amino compounds for low-k silylation
GB0921707D0 (en) * 2009-12-11 2010-01-27 Cambridge Display Tech Ltd Electronic devices
JP5404361B2 (en) 2009-12-11 2014-01-29 株式会社東芝 Semiconductor substrate surface treatment apparatus and method
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
KR101266620B1 (en) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing method and substrate processing apparatus
JP5662081B2 (en) * 2010-08-20 2015-01-28 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
DE102010040071B4 (en) 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method for restoring surface properties of sensitive low ε dielectrics in microstructure devices using in-situ surface modification
JP2012222329A (en) * 2011-04-14 2012-11-12 Tokyo Electron Ltd Liquid processing method and liquid processing device
US8575041B2 (en) 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
CN103426733A (en) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 Ultra-low-K dielectric layer treatment method
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
TW201403711A (en) * 2012-07-02 2014-01-16 Applied Materials Inc Low-k dielectric damage repair by vapor-phase chemical exposure
TWI642809B (en) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Method of etching semiconductor structures with etch gases
JP6258151B2 (en) * 2013-09-25 2018-01-10 信越化学工業株式会社 Photomask blank and manufacturing method thereof
JP6234898B2 (en) 2013-09-25 2017-11-22 信越化学工業株式会社 Photomask blank manufacturing method
KR20160116618A (en) 2015-03-30 2016-10-10 삼성전자주식회사 A semiconductor device and method of manufacturing the semiconductor device
US10692773B2 (en) * 2018-06-29 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing low-K gate spacer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
CN1125138C (en) * 1997-07-15 2003-10-22 旭化成株式会社 Alkoxysilane/organic polymer composition for thin insulating film prodution and use thereof
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
WO2001018860A2 (en) * 1999-09-09 2001-03-15 Alliedsignal Inc. Improved apparatus and methods for integrated circuit planarization
CA2413592A1 (en) * 2000-06-23 2002-01-03 Nigel P. Hacker Method to restore hydrophobicity in dielectric films and materials
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
JP4246640B2 (en) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 Method for passivating low dielectric constant materials in wafer processing
JP2003282698A (en) * 2002-03-22 2003-10-03 Sony Corp Method for fabricating semiconductor and the same
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2006049595A1 *

Also Published As

Publication number Publication date
KR101063591B1 (en) 2011-09-07
KR100985613B1 (en) 2010-10-05
KR100974042B1 (en) 2010-08-05
CN101048857A (en) 2007-10-03
KR20100088166A (en) 2010-08-06
CN101048857B (en) 2010-10-13
WO2006049595A1 (en) 2006-05-11
JP2008518460A (en) 2008-05-29
KR20090113389A (en) 2009-10-30
JP4594988B2 (en) 2010-12-08
KR20090111883A (en) 2009-10-27

Similar Documents

Publication Publication Date Title
US7687913B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
WO2006049595A1 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8470706B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
US7678712B2 (en) Vapor phase treatment of dielectric materials
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US7972954B2 (en) Porous silicon dielectric
US6815333B2 (en) Tri-layer masking architecture for patterning dual damascene interconnects
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US6475929B1 (en) Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US20080166870A1 (en) Fabrication of Interconnect Structures
WO2006048241A1 (en) Using polydentate ligands for sealing pores in low-k dielectrics
WO2002017374A1 (en) Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
JP2001077196A (en) Manufacture of semiconductor device
US7223704B2 (en) Repair of carbon depletion in low-k dielectric films
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
KR20020068958A (en) Method of Manufacturing a Semiconductor Device and the Semiconductor Device
KR100935620B1 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP2000021872A (en) Low-dielectric const. resin compsn., method of forming low-dielectric const. insulation film and manufacturing semiconductor device

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20070518

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PL PT RO SE SI SK TR

RIN1 Information on inventor provided before grant (corrected)

Inventor name: COLBURN, MATTHEW, E.

Inventor name: NITTA, SATYANARAYANA, V.

Inventor name: PFEIFFER, DIRK

Inventor name: PURUSHOTHAMAN, SAMPATH

Inventor name: CHAKRAPANI, NIRUPAMA

Inventor name: DIMITRAKOPOULOS, CHRISTOS, D.

DAX Request for extension of the european patent (deleted)
17Q First examination report despatched

Effective date: 20090623

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20100105