KR101063591B1 - Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics - Google Patents

Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics Download PDF

Info

Publication number
KR101063591B1
KR101063591B1 KR1020107016122A KR20107016122A KR101063591B1 KR 101063591 B1 KR101063591 B1 KR 101063591B1 KR 1020107016122 A KR1020107016122 A KR 1020107016122A KR 20107016122 A KR20107016122 A KR 20107016122A KR 101063591 B1 KR101063591 B1 KR 101063591B1
Authority
KR
South Korea
Prior art keywords
film
low
silylating agent
organosilicate
silylation
Prior art date
Application number
KR1020107016122A
Other languages
Korean (ko)
Other versions
KR20100088166A (en
Inventor
니루파마 차크라파니
매튜 이. 콜번
크리스토스 디. 디미트라코폴로스
사티아나레이아나 브이. 니타
더크 프라이퍼
삼패쓰 푸루소트하만
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20100088166A publication Critical patent/KR20100088166A/en
Application granted granted Critical
Publication of KR101063591B1 publication Critical patent/KR101063591B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

집적 회로에서의 RC 지연을 감소시키는 데 종종 사용되고 있는 것으로는, 종종 망상구조에서 알킬기 또는 아릴기(물질에 소수성을 부가하고 자유 부피를 생성하기 위한 것임)가 Si 원자에 직접 부착된 실리카 유사 골격을 갖는 다공성 오가노실리케이트의 유전체 필름이 존재한다. Si-R 결합은 프로세싱에서 통상적으로 사용되는 플라즈마 또는 화학적 처리에 대한 노출에 좀처럼 살아남지 못한다. 이것은 연속 기포성 발포 구조(open cell pore structure)를 갖는 물질의 경우에 특히 그러하다. Si-R 결합이 파괴될 때, 그 물질은 친수성 실라놀의 형성으로 인해 소수성을 상실하고, 낮은 유전 상수가 손상된다. 본 발명은 일반식 (R2N)xSiRy를 가질 수 있는 새로운 부류의 실릴화제를 사용하여 물질의 소수성을 복원하는 방법을 제공하는 것으로, 상기 식 중 X 및 Y는 각각 1 내지 3의 정수 및 3 내지 1의 정수이고, R 및 R'은 수소, 알킬, 아릴, 알릴 및 비닐 부위로 이루어지는 군으로부터 선택된다. E또한, 다공성 오가노실리케이트의 기계적 강도가 역시 마찬가지로 실릴화 처리의 결과로서 향상된다.Often used to reduce the RC delay in integrated circuits, silica-like frameworks in which alkyl or aryl groups (to add hydrophobicity to materials and create free volume) are often attached directly to Si atoms in a network. There is a dielectric film of porous organosilicate having. Si-R bonds rarely survive exposure to plasma or chemical treatments commonly used in processing. This is especially true for materials with open cell pore structures. When the Si-R bond is broken, the material loses hydrophobicity due to the formation of hydrophilic silanol and the low dielectric constant is impaired. The present invention provides a method for restoring hydrophobicity of a material using a new class of silylating agents that may have the general formula (R 2 N) x SiR y , wherein X and Y are each an integer of 1 to 3. And an integer from 3 to 1, and R and R 'are selected from the group consisting of hydrogen, alkyl, aryl, allyl and vinyl moieties. In addition, the mechanical strength of the porous organosilicate is likewise improved as a result of the silylation treatment.

Figure 112010046558924-pat00001
Figure 112010046558924-pat00001

Description

금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품{RECOVERY OF HYDROPHOBICITY OF LOW-K AND ULTRA LOW-K ORGANOSILICATE FILMS USED AS INTER METAL DIELECTRICS}Methods of restoring the hydrophobicity of low and extremely low thickness organosilicate films used as intermetallic dielectrics and articles made therefrom }

본 발명은 컴퓨터, 마이크로프로세서, 마이크로컨트롤러, 센서, 통신 장치 등에서 사용되는 초고성능 마이크로일렉트로닉 칩 상의 인터커넥트 배선 네트워크(interconnect wiring network)에 관한 것이다. 구체적으로, 본 명세서에 기술된 본 발명의 구성은 그러한 배선과 연관된 신호 전파 지연을 상당히 감소시키는 것에 관한 것이다. 상세히 기술되어 특허 청구된 본 발명의 방법은, 유전체가 소정의 플라즈마 노출에 의해 친수성으로 된 후, 낮은 유전 상수의 유전체의 유전 특성을 복원하는 데 요구되는 화학 및 프로세싱을 제공하며, 그리고 다공성 오가노실리케이트 유전체를 증착시킨 후에 그리고 그 필름을 포함하는 인터커넥트 구조체를 형성하는 프로세스 동안에 다공성 오가노실리케이트 유전체의 낮은 유전 상수를 유지하고, 기계적 강도를 증가시키는 데 요구되는 화학 및 방법을 제공한다. 본 발명은 또한 이들 물질을 이러한 칩 내에 성공적으로 집적화하는 것을 가능하게 해주는 방법에 관한 것이다.BACKGROUND OF THE INVENTION The present invention relates to interconnect wiring networks on ultra high performance microelectronic chips used in computers, microprocessors, microcontrollers, sensors, communication devices, and the like. In particular, the inventive arrangements described herein relate to significantly reducing signal propagation delays associated with such wiring. The method of the present invention described in detail and claimed provides the chemistry and processing required to restore the dielectric properties of low dielectric constant dielectrics after the dielectric has been made hydrophilic by a given plasma exposure, and the porous organo Provided are the chemistry and methods required to maintain the low dielectric constant of the porous organosilicate dielectric and increase mechanical strength after deposition of the silicate dielectric and during the process of forming the interconnect structure comprising the film. The invention also relates to a method which enables the successful integration of these materials into such a chip.

고성능 마이크로프로세서, 마이크로컨트롤러, 및 통신 칩은, 논리적 연산, 데이터의 저장 및 검색, 제어 신호의 제공 등과 같은 다양한 기능을 수행하는 데 사용되는 능동 트랜지스터 디바이스들 간의 초고속 인터커넥트를 필요로 한다. 현재 극초대규모 집적회로(ultra large scale integration: ULSI)을 유도하는 트랜지스터 디바이스 기술의 진보에 따라, 이러한 진보된 칩의 전체적인 작동 속도는 칩 상의 개별 디바이스들 간의 인터커넥트 배선에서의 신호 전파 지연에 의해 제한되기 시작하고 있다. 인터커넥트에서의 신호 전파 지연은 RC 곱(RC product)에 의존하며, 여기서 R은 인터커넥트 배선의 저항을 나타내고, C는 배선이 매립되어 있는 인터커넥트 스킴(scheme)의 전체 커패시턴스를 나타낸다. 인터커넥트 배선 물질로서 알루미늄 대신에 구리를 사용하는 것은 RC 곱에 대한 저항 기여의 감소를 가능하게 해준다. 마이크로일렉트로닉스 산업에서의 현재의 주안점은 칩 상에 다층 인터커넥트 구조체를 형성하는 데 있어 보다 낮은 유전 상수(k) 절연체를 사용하여 인터커넥트 커패시턴스를 감소시키는 것이다.High performance microprocessors, microcontrollers, and communication chips require ultrafast interconnects between active transistor devices used to perform various functions such as logical operations, storage and retrieval of data, provision of control signals, and the like. With advances in transistor device technology that currently lead to ultra large scale integration (ULSI), the overall operating speed of these advanced chips is limited by signal propagation delays in interconnect wiring between individual devices on the chip. Getting started. The signal propagation delay at the interconnect depends on the RC product, where R represents the resistance of the interconnect wiring and C represents the total capacitance of the interconnect scheme in which the wiring is embedded. The use of copper instead of aluminum as the interconnect wiring material allows for a reduction in the resistance contribution to the RC product. A current focus in the microelectronics industry is to reduce interconnect capacitance by using lower dielectric constant (k) insulators to form multilayer interconnect structures on a chip.

이러한 작은 규모로 인터커넥트 배선 네트워크를 형성시키는 한가지 종래 기술의 방법은 도 1a 내지 도 1g에 개략적으로 도시된 듀얼 다마신(dual damascene: DD) 프로세스이다. 도 1a를 참조하면, 표준 DD 프로세스에서는, 2개의 층(1110, 1120)으로 도시된 금속간 유전체(inter metal dielectric: IMD)가 기판(1100) 상에 코팅되어 있다. 비아 레벨 유전체(via level dielectric)(1110) 및 라인 레벨 유전체(line level dielectric)(1120)가 프로세스 흐름도 설명을 명백하게 하기 위해서 개별적으로 도시되어 있다. 일반적으로, 이들 2개의 층은 동일하거나 상이한 절연 필름으로 제조될 수 있으며, 전자의 경우에는 단일의 모놀리딕층으로 도포된다. 하드 마스크층(hard mask layer) 또는 층상화된 스택(layered stack)(1130)이 에칭 선택성을 용이하게 해주기 위해서 그리고 폴리쉬 스톱(polish stop)으로서 작용하도록 해주기 위해서 선택적으로 이용된다. 인터커넥트 배선 네트워크는 2가지 유형의 피처(feature): 칩을 가로 질러 일정 거리를 횡단하는 라인 피처(line feature)와 다층 스택내 상이한 레벨의 인터커넥트의 라인들을 연결하는 비아 피처(via feature)로 이루어져 있다. 역사적으로, 그 2개의 층은 플라즈마 강화형 화학 기상 증착(PECVD: plasma enhanced chemical vapor deposition)에 의해 증착된 이산화규소(SiO2) 또는 FSG(fluorinated silica glass) 필름과 같은 무기 유리로부터 제조된다.One prior art method of forming an interconnect interconnect network at such a small scale is the dual damascene (DD) process shown schematically in FIGS. 1A-1G. Referring to FIG. 1A, in a standard DD process, an inter metal dielectric (IMD), shown as two layers 1110 and 1120, is coated on the substrate 1100. Via level dielectric 1110 and line level dielectric 1120 are shown separately to clarify the process flow diagram description. In general, these two layers can be made of the same or different insulating films, in the former case being applied as a single monolithic layer. A hard mask layer or layered stack 1130 is optionally used to facilitate etch selectivity and to act as a polish stop. The interconnect wiring network consists of two types of features: a line feature that traverses a distance across the chip and a via feature that connects lines of different levels of interconnect in a multilayer stack. . Historically, the two layers are made from inorganic glass such as silicon dioxide (SiO 2 ) or fluorinated silica glass (FSG) films deposited by plasma enhanced chemical vapor deposition (PECVD).

도 1b 및 도 1c를 참조하면, 듀얼 다마신(DD) 프로세스에서, 라인(1150) 및 비아(1170)의 위치는 각각 포토레지스트층(1500, 1510)에서 리쏘그래피 방식으로 한정되고, 반응성 이온 에칭(reactive ion etching: RIE) 프로세스를 이용하여 하드 마스크층 및 IMD층으로 전사된다. 도 1a 내지 도 1d에 도시한 프로세스 순서는 "라인 우선(line-first)" 접근법이라고 칭한다. 트렌치 형성 후에는, 포토레지스트층(1510)에 비아 패턴(1170)을 한정하기 위해서 리쏘그래피가 이용되고, 이 패턴이 유전체 물질 내로 전사되어, 도 1d에 도시되어 있는 바와 같이, 비아 개구부(via opening)(1180)를 생성하게 된다. 포토레지스트가 박리된 후의, 듀얼 다마신 트렌치 및 비아 구조체(1190)가 도 1e에 도시되어 있다.1B and 1C, in a dual damascene (DD) process, the locations of lines 1150 and vias 1170 are lithographically defined in photoresist layers 1500 and 1510, respectively, and reactive ion etching. It is transferred to a hard mask layer and an IMD layer using a reactive ion etching (RIE) process. The process sequence shown in FIGS. 1A-1D is referred to as a "line-first" approach. After the trench formation, lithography is used to define the via pattern 1170 in the photoresist layer 1510, which is transferred into the dielectric material and via openings, as shown in FIG. 1D. 1180 will be generated. The dual damascene trench and via structure 1190 after the photoresist is stripped is shown in FIG. 1E.

도 1f에 도시되어 있는 바와 같이, 이어서 함몰된 구조체(1190)는 도체 금속 배선 및 비아를 보호하는 작용을 하고 도체와 IMD 간의 접착층(adhesion layer)으로서 작용을 하는 전도성 라이너 물질(liner material) 또는 물질 스택(material stack)(1200)에 의해 코팅되어 있다. 이어서, 그 함몰부(recess)는 패턴화된 기판의 표면 상에 걸쳐 전도성 충전 물질(conducting fill material)(1210)로 채워진다. 이 충전(fill)은 가장 통상적으로는 구리를 전기 도금함으로써 달성되지만, 화학 기상 증착(chemical vapor deposition: CVD)과 같은 다른 방법 및 알루미늄이나 금과 같은 다른 물질도 이용될 수 있다. 이어서, 그 충전 물질 및 라이너 물질은 하드 마스크의 표면과 공면이 되도록 화학-기계적 폴리싱(chemical-mechanical polish: CMP)으로 처리되며, 이 단계에서의 구조체가 도 1f에 도시되어 있다. 도 1g에 도시되어 있는 바와 같이, 노출된 금속 표면을 부동태화 처리하기 위해서 그리고 금속과 그 위에 증착되는 임의의 부가적인 IMD층 간의 확산 베리어로서 작용하도록 하기 위해서 캡핑 물질(capping material)(1220)이 블랭킷 필름(blanket film)으로서 증착된다. PECVD에 의해 증착된 질화규소, 탄화규소 및 탄질화규소(silicon carbonitride) 필름이 일반적으로 캡핑 물질(1220)로서 사용된다. 이 프로세스 순서가 디바이스 상의 인터커넥트의 각 레벨에 대해 반복된다. 2개의 인터커넥트 피처가 동시에 한정되어 단일의 폴리싱 단계에 의해 절연체 내에 매립된 도체를 형성하기 때문에, 이 프로세스는 듀얼 다마신 프로세스라고 칭한다.As shown in FIG. 1F, the recessed structure 1190 is then a conductive liner material or material that acts to protect the conductor metal interconnects and vias, and acts as an adhesion layer between the conductor and the IMD. Coated by a material stack 1200. The recess is then filled with a conducting fill material 1210 over the surface of the patterned substrate. This fill is most commonly accomplished by electroplating copper, but other methods such as chemical vapor deposition (CVD) and other materials such as aluminum or gold may also be used. The fill material and liner material are then treated with chemical-mechanical polish (CMP) to be coplanar with the surface of the hard mask and the structure at this stage is shown in FIG. 1F. As shown in FIG. 1G, a capping material 1220 is used to passivate the exposed metal surface and to act as a diffusion barrier between the metal and any additional IMD layer deposited thereon. It is deposited as a blanket film. Silicon nitride, silicon carbide, and silicon carbonitride films deposited by PECVD are generally used as capping material 1220. This process sequence is repeated for each level of interconnect on the device. This process is called a dual damascene process because two interconnect features are simultaneously defined to form a conductor embedded in an insulator by a single polishing step.

커패시턴스를 낮추기 위해서, PECVD 이산화규소계 유전체(k = 3.6 내지 4.1) 대신에 2.5 내지 3.1 범위에 있는 k 값을 갖는 PECVD 오가노실리케이트 또는 스핀-온(spin-on) 오가노실리케이트와 같은 보다 낮은 k의 유전체를 사용하는 것이 반드시 필요하다. 이러한 오가노실리케이트는 수소 및/또는 알킬기 또는 아릴기와 같은 유기 기가 망상구조내 Si 원자에 직접 부착되어 있는 실리카 유사 골격(silica like backbone)을 갖는다. 그것의 원소 조성은 일반적으로 다양한 비율로 Si, C, O, 및 H로 이루어져 있다. C 및 H는 메틸기(-CH3)의 형태로 존재하는 것이 가장 빈번하다. 이러한 메틸기의 주기능은 물질에 소수성을 부가하는 것이다. 부차적인 기능은 그 필름내 자유 부피(free volume)를 형성하며 그리고 그 필름의 분극율(polarizability)을 감소시키는 것이다. k 값은 그 필름의 절연체에 다공성을 도입함으로써 2.2(극도로 낮은 k)(ultra low k), 심지어는 2.0 미만(극한으로 낮은 k)(extremely low k)으로 감소될 수 있다. 간단 명료화를 위하여, 이와 같이 극도로 낮은 k 물질 및 극한으로 낮은 k 물질은 본 명세서에서 총괄적으로 매우 낮은 k 물질(very low k material)이라고 칭한다. To lower capacitance, lower k, such as PECVD organosilicates or spin-on organosilicates with k values in the range of 2.5 to 3.1 instead of PECVD silicon dioxide based dielectrics (k = 3.6 to 4.1) It is necessary to use a dielectric of. Such organosilicates have a silica like backbone in which organic groups such as hydrogen and / or alkyl or aryl groups are attached directly to Si atoms in the network. Its elemental composition generally consists of Si, C, O, and H in various proportions. C and H are most often present in the form of a methyl group (-CH 3 ). The main function of these methyl groups is to add hydrophobicity to the material. A secondary function is to form a free volume in the film and to reduce the polarizability of the film. The k value can be reduced to 2.2 (ultra low k), even less than 2.0 (extremely low k) by introducing porosity into the insulator of the film. For the sake of simplicity, such extremely low k materials and extremely low k materials are collectively referred to herein as very low k materials.

조정가능한 k 값의 범위가 이러한 일련의 매우 낮은 k 물질에 의해 가능하ㄱ긴 하지만, 상기 설명한 듀얼 다마신 프로세스에 의해 또는 듀얼 다마신 프로세스의 임의의 다른 변형에 의해 그러한 물질을 구리 인터커넥트로 집적화하는 데 있어 몇가지 난점이 존재한다. 주요 난점은, Si-유기기 결합부(Si-organic group linkage)(예를 들어, Si-메틸)의 산화 또는 절단(cleavage)의 상대적 용이성 때문에, 즉 이는 결과적으로 주변 환경에 있는 수분과의 잠재적인 반응을 통해 필름 내에 실라놀(Si-OH) 기의 형성을 초래하기 때문에, 오가노실리케이트계 물질이 플라즈마 노출에 아주 민감하다는 점이다. Although an adjustable range of k values is possible with this series of very low k materials, the integration of such materials into copper interconnects by the dual damascene process described above or by any other modification of the dual damascene process. There are some difficulties in this. The main difficulty is due to the relative ease of oxidation or cleavage of Si-organic group linkages (eg Si-methyl), i.e. as a result potential with water in the surrounding environment. The phosphorus reaction leads to the formation of silanol (Si-OH) groups in the film, which is why the organosilicate-based material is very sensitive to plasma exposure.

실라놀은 물을 흡수하고, 따라서 필름의 유전 상수 및 유전체 손실 인자(dielectric loss factor)을 상당히 증가시키며, 그에 따라 매우 낮은 k 필름으로부터 기대되는 성능 이점을 무효화시키게 된다. 실라놀은 또한 필름에서의 전기 누출(electrical leakage)을 증가시키고, 따라서 잠재적으로 신뢰할 수 없는 인터커넥트 구조체를 형성하게 된다. 상기한 바와 같이 반응성 이온 에칭 및 플라즈마 에칭이 듀얼 다마신 트렌치 및 비아 구조체의 형성에서, 그리고 매우 낮은 k 물질을 패턴화하는 데 사용되는 포토레지스트의 제거에서 요구되는 주요 단계이기 때문에, 종래 기술의 듀얼 다마신 집적화 동안에 이러한 부류의 필름의 플라즈마 손상을 피하는 것이 불가능하지는 않지만 아주 어렵다.Silanol absorbs water and thus significantly increases the dielectric constant and dielectric loss factor of the film, thus negating the performance benefits expected from very low k films. Silanol also increases electrical leakage in the film, thus forming a potentially unreliable interconnect structure. As described above, since reactive ion etching and plasma etching are the key steps required in the formation of dual damascene trench and via structures and in the removal of the photoresist used to pattern very low k materials, dual While it is not impossible to avoid plasma damage of this class of films during damascene integration, it is quite difficult.

He, H2, N2, CO 등의 일부 또는 전부로 이루어진 비산화 레지스트 박리 플라즈마(non-oxidizing resist strip plasma)를 사용하여 낮은 k 필름에서의 소수성의 손실을 최소화하기 위해서 몇 가지 시도가 행해지고 있다. 그렇지만, 유의할 점은 이들 플라즈마 화학 물질 중 어느 것도 매우 낮은 k 물질의 소수성의 손실을 방지하는 데 완전히 성공하지는 않는다는 것이다. 이것은 아주 큰 표면적을 가지며 레지스트 박리 프로세스 동안 쉽게 손상되는 다공성의 낮은 k 물질의 경우에 특히 그러하다.Several attempts have been made to minimize the loss of hydrophobicity in low k films using non-oxidizing resist strip plasmas consisting of some or all of He, H 2 , N 2 , CO, and the like. . Note, however, that none of these plasma chemistries is fully successful in preventing the loss of hydrophobicity of very low k materials. This is especially true for porous low k materials that have very large surface areas and are easily damaged during the resist stripping process.

낮은 k 물질이 그 소수성 및 그 유전 특성을 상실하는 것을 방지하기 위한 다른 방법은 플루오르화 또는 비플루오르화 유기 중합체계 낮은 k 물질, 예컨대 다우 케미칼(Dow Chemical)의 SiLK™ 유전체, 허니웰(Honeywell)의 Flare™ 및 다른 폴리이미드, 벤조시클로부텐, 폴리벤즈옥사졸, 폴리페닐렌 에테르계 방향족 열경화성 중합체; 및 화학 기상 증착된 중합체, 예컨대 듀얼 다마신 프로세싱과 연관된 전통적인 프로세스 플라즈마 노출 동안 쉽게 손상되지 않은 폴리 파라크실릴렌을 사용하는 것이다. 그렇지만, 이들 물질은 낮은 열 팽창 및 작은 기공 크기와 같은 낮은 k 유전체 필름에 요구되는 다른 특성을 지니고 있지 않다.Other methods to prevent low k materials from losing their hydrophobicity and their dielectric properties include fluorinated or non-fluorinated organic polymer based low k materials, such as SiLK ™ dielectrics from Dow Chemical, Honeywell. Flare ™ and other polyimides, benzocyclobutenes, polybenzoxazoles, polyphenylene ether based aromatic thermoset polymers; And the use of chemical vapor deposited polymers, such as poly paraxylylene, which is not easily damaged during traditional process plasma exposures associated with dual damascene processing. However, these materials do not have the other properties required for low k dielectric films such as low thermal expansion and small pore size.

오가노실리케이트계 다공성 물질의 성공적인 집적화에 직면한 다른 문제점은 그 물질이 CMP, 다이싱(dicing) 및 패키징(packaging) 조작에서의 종종 장애를 유발하는 낮은 탄성 계수(elastic modulus), 파괴 인성(fracture toughness) 및 경도(hardness)로 인해 기계적으로 아주 약한 취성을 지닌다는 점이다. 이러한 수지의 기계적 강도는 공극 부피(void volume)는 물론 화학적 구조 둘다에 의존한다. 그 기계적 강도는 다공성의 증가 뿐만 아니라 실록산 골격의 케이지형 구조(cage-like structure)의 증가에 따라 감소한다. 낮은 유전 상수를 유지하는 것이 필수적이기 때문에, 동일한 기계적 강도를 유지하면서 공극 부피를 감소시키는 것이 아주 어렵다.Another problem faced with the successful integration of organosilicate-based porous materials is their low modulus of elasticity, fracture toughness, which often causes obstacles in CMP, dicing and packaging operations. toughness and hardness are mechanically very brittle. The mechanical strength of such resins depends on both the void volume and the chemical structure. Its mechanical strength decreases not only with increasing porosity but also with increasing cage-like structure of the siloxane backbone. Since it is essential to maintain a low dielectric constant, it is very difficult to reduce the void volume while maintaining the same mechanical strength.

약한 기계적 강도를 갖는 다공성 오가노실리케이트 물질을 처리하기 위한 몇 가지 방법(Padhi et al., J. Electjcochem. Soc. , 150 (1) , G10-G14, (2003) 및 본 발명의 양수인과 동일한 양수인에게 양도된 Canaperi et al.의 미국 특허 출원 공개 US2004/0087135 A1)이 제안되었지만, 이들 방법 대부분은 이들 방법이 비표준 프로세스 흐름도 또는 비표준 도구를 필요로 한다는 사실로 인해 구현하기가 어렵다. 따라서, 이들은 생산에서 구현하는데 비용이 많이 든다.Several methods for treating porous organosilicate materials with weak mechanical strength (Padhi et al., J. Electjcochem. Soc., 150 (1), G10-G14, (2003) and the same assignee as the assignee of the present invention) Although US Patent Application Publication US2004 / 0087135 A1) of Canaperi et al., Assigned to U.S., has been proposed, most of these methods are difficult to implement due to the fact that these methods require non-standard process flow diagrams or non-standard tools. Therefore, they are expensive to implement in production.

다공성 실리카계 필름에 관한 문헌에서, 예를 들어, 문헌[Prakash et al., Nature, 374, 439, (1995)]에서, 필름 형성 동안에 소수성 말단 기를 도입하기 위한 표면 변형(surface modification)이 습식 화학 처리에 의해 달성되며, 그 처리에서는 실릴화제(트리메틸클로로실란)(Trimethylchlorosilane: TMCS)가 낮은 표면 장력 케리어 용매에 의해 다공성 망상구조 내로 도입된다. 실릴화(silylation)라고 칭하는 이 반응은 형성 프로세스 하에 있는 필름의 경우에 실현가능한데, 그 이유는 다량의 자유 부피 및 달리 축합 및 가교될 다량의 실라놀이 존재하기 때문이다. 현재까지, 필름에 손상을 주는 프로세스 화학 물질에 노출된 후에도, 형성 프로세스 하에 있는 필름보다 더 적은 실라놀을 갖는 완전 형성된 필름에 대해 유사한 반응이 수행될 수 있는지 여부가 명백하지 않다. HMDS(hexamethy disilazane)를 실릴화제로서 사용하여 손상 후의 다공성 OSG 필름의 소수성 및 탄소 함량을 복원하려는 시도가 수행된 문헌[Chang et al., J. Electrochem Soc, 149, 8, F81 - F34, 2002)]에 의해 공개된 연구가 있었다. 그렇지만, 그 연구 결과로부터 분명히 이해할 수 있는 바와 같이, 임의의 매질 내의 HMDS는 다공성 OSG 필름의 특성을 완전히 복원할 수 없다.In the literature on porous silica based films, for example, in Prakash et al., Nature, 374, 439, (1995), surface modification for introducing hydrophobic end groups during film formation is a wet chemical. This is accomplished by treatment, in which a silylating agent (Trimethylchlorosilane (TMCS)) is introduced into the porous network by a low surface tension carrier solvent. This reaction, called silylation, is feasible in the case of a film under the formation process because of the large amount of free volume and the presence of a large amount of silanol to be condensed and crosslinked. To date, it is not clear whether similar reactions can be performed on fully formed films with less silanol than those under the forming process, even after exposure to process chemicals damaging the film. Attempts have been made to restore the hydrophobicity and carbon content of porous OSG films after damage using hexamethy disilazane (HMDS) as the silylating agent (Chang et al., J. Electrochem Soc, 149, 8, F81-F34, 2002). ] Has published a study. However, as can be clearly understood from the study results, HMDS in any medium cannot completely restore the properties of the porous OSG film.

이와 유사하게, TMCS도 역시 유전체 특성을 복원하는 데 완전히 효과적인 것은 아니다. HMDS 및 TMCS 둘다는 낮은 k 물질의 표면 및 기공 벽 상의 분자 당 단 일의 실라놀 기만을 공격하는 일작용성 실릴화제(monofunctional silylating agent)이다. 그렇지만, 오가노실리케이트계 낮은 k 물질은 다음과 같이 분류되는 2가지 서로 다른 유형의 실라놀을 갖는다(Gun'ko et al., J. Colloid and Interface Sci 228, 157-170 (2000). 첫 번째 유형의 실라놀은 그 자체로서 (1) 근방에 이웃하는 실라놀을 전혀 갖지 않는 완전 비상호작용적인 단일 실라놀(단리된 실라놀(isolated silanol)이라고도 칭함), (2) 아주 약한 상호작용적인 실라놀, 및 (3) 약한 상호작용적 또는 비상호작용적인 제미날 실라놀(geminal silanol)(디실라놀(disilanol)이라고도 칭함)으로 이루어져 있는 비수소결합 실라놀(non-hydrogen bonded silanol)이다. 두 번째 유형의 실라놀은 수소 결합 실라놀이다. 대부분의 일작용성 실릴화제는 단리된 실라놀을 용이하게 공격 및 치환하지만, 일반적으로 다른 2가지 유형의 비수소 결합 실라놀을 용이하게 공격하지 않는다. 이러한 주된 이유는 입체 장애(steric hindrance)가 일작용성 실릴화제로 용이하게 1개 이상의 실라놀을 동시에 포획하는 것을 방해하기 때문이다. 게다가, 또한 침식성이 있는 반응 부산물을 배출하는 일 없이 낮은 k 물질의 표면 및 기공 벽을 용이하게 실릴화하기 위해서는 가장 반응성이 큰 작용가(functionality)를 지닌 실릴화제를 사용하는 것이 중요하다.Similarly, TMCS is also not completely effective in restoring dielectric properties. Both HMDS and TMCS are monofunctional silylating agents that attack only silanol groups per molecule on the surface of the low k material and on the pore walls. However, organosilicate low k materials have two different types of silanols classified as (Gun'ko et al., J. Colloid and Interface Sci 228, 157-170 (2000). Types of silanols are themselves (1) fully non-interactive single silanols (also called isolated silanols) that have no neighboring silanols nearby, (2) very weak interactive silas Nol, and (3) non-hydrogen bonded silanol consisting of weakly interactive or non-interactive geminal silanol (also called disilanol). The first type of silanol is hydrogen bonded silanol Most monofunctional silylating agents readily attack and replace isolated silanol, but generally do not readily attack the other two types of non-hydrogen bonded silanol . Such The reason for this is that steric hindrance prevents the easy capture of one or more silanols simultaneously with a monofunctional silylating agent, and also allows the use of low k materials without releasing erosive reaction byproducts. In order to easily silylate the surface and pore walls, it is important to use a silylating agent with the most reactive functionality.

문헌[Hu et al., J. of Electrochem. Soc.,150 (4) F61-F66(2003)]에는 또한 낮은 k 물질의 특성을 복원하기 위해 실릴화제로서 디메틸디클로로실란(DMDCS)의 효능을 검사하는 연구가 공개되어 있다. 그렇지만, 이 연구에서는, 디메틸디클로로실란이 필름의 상부 표면 상에 단일층을 형성하며, 다공성 낮은 k 물질의 벌크에 침투하지 않는 것으로 보고되어 있다. 따라서, 적절한 실릴화 매질 뿐만 아니라 실릴화를 위한 조건이 사용되지 않는 한, 낮은 k 물질의 벌크 유전 특성을 복원하기가 어렵다. 게다가, 디메틸디클로로실란 및 TMCS와 같은 임의의 염소계 실릴화제의 부산물로 염화수소가 존재하며, 이것은 침식성이 있으며 구리를 함유하는 인터커넥트 구조체에서 사용될 수 없다.Hu et al., J. of Electrochem. Soc., 150 (4) F61-F66 (2003) also discloses a study examining the efficacy of dimethyldichlorosilane (DMDCS) as a silylating agent to restore the properties of low k materials. However, in this study, it has been reported that dimethyldichlorosilane forms a monolayer on the top surface of the film and does not penetrate into the bulk of the porous low k material. Thus, it is difficult to restore the bulk dielectric properties of low k materials unless the conditions for silylation as well as the appropriate silylation medium are used. In addition, hydrogen chloride is present as a byproduct of any chlorine-based silylating agents such as dimethyldichlorosilane and TMCS, which is erosive and cannot be used in copper containing interconnect structures.

그러므로, 본 발명의 양태는 증착 및 경화 후 다공성 오가노실리케이트 수지의 케이지형 대 망상구조형 비율(cage to network ratio)을 변경함으로써 기계적 강도를 증가시키는 저렴한 비파괴적 방법을 제공하는 데 있다.Therefore, an aspect of the present invention is to provide an inexpensive, non-destructive method of increasing mechanical strength by changing the cage to network ratio of porous organosilicate resin after deposition and curing.

그러므로, 본 발명의 목적은, 침식성 부산물을 산출하는 일 없이 프로세스 노출 후 물질의 소수성을 완전 복원하는, 일정 부류의 실릴화제 뿐만 아니라 이 실릴화제를 이용하는 프로세스 흐름도를 제공하는 데 있다.It is therefore an object of the present invention to provide a process flow diagram using this silylating agent as well as a class of silylating agents that completely restore the hydrophobicity of the material after process exposure without yielding erosive byproducts.

본 발명의 추가 목적은 다공성 낮은 k 물질의 벌크에 침투하여 그 특성을 복원하도록 본 발명의 실릴화제를 도입시킬 수 있는 방법을 제공하는 데 있다.It is a further object of the present invention to provide a method by which the silylating agents of the present invention can be introduced to penetrate the bulk of porous low k materials and restore their properties.

본 발명의 추가 목적은, 기계적 강도를 증가시키기 위해서, 그리고 다공성 오가노실리케이트의 성공적인 집적화에 접하게 되는 주요 장애 중 일부를 극복하기 위해서, 증착 및 기공 형성 후 수지의 화학을 변경하는 데 있다.It is a further object of the present invention to alter the chemistry of the resin after deposition and pore formation in order to increase mechanical strength and to overcome some of the major obstacles encountered in the successful integration of porous organosilicates.

본 발명에서 케이지형-망상구조형 비율을 변경시키는 방법은 또한 필름 내로 새로운 망상구조 형성 실록산 결합을 도입하고 이로써 유전 상수를 유의적으로 증가시키는 일 없이 기계적 특성을 개선시키는 실릴화에 의해 이루어진다. 그렇지만, 실릴화 반응이 일어나기 위해서는, 오가노실리케이트 필름이 다량의 실라놀을 가지고 있어야만 한다. 이러한 실라놀을 실릴화 이전에 제공하는 것, 그리고 필름을 강화시키기에 충분할 정도로 실릴화 반응이 일어나도록 보장하는 것도 역시 본 발명의 목적에 해당된다.The method of changing the cage-to-network ratio in the present invention is also made by silylation, which introduces new network-forming siloxane bonds into the film, thereby improving mechanical properties without significantly increasing the dielectric constant. However, for the silylation reaction to occur, the organosilicate film must have a large amount of silanol. It is also an object of the present invention to provide such silanol prior to silylation, and to ensure that the silylation reaction takes place sufficient to strengthen the film.

본 발명의 이점은, 극도로 낮은 k 금속간 유전체를 위한 물질 선택이 그 물질에 대한 플라즈마 및 습식 세정 손상의 작용의 고려사항에 의해 제약될 필요가 없다는 점인데, 그 이유는 그 물질이 본 발명에 개시된 실릴화 방법을 이용함으로써 손상된 후에 그 원래의 특성으로 복원될 수 있기 때문이다. 게다가, 플라즈마 노출에 의해 손상되는 필름의 특성을 복원하는 신뢰성 있는 방법의 이용가능성은 듀얼 다마신 제조에서 요구되는 반응성 이온 에칭(RIE) 및 레지스트 박리 조작에서의 보다 많은 프로세스 옵션을 가능하게 해주며, 그 결과 보다 안정되고 보다 저렴한 프로세싱이 얻어질 수 있다. 마지막으로, 본 발명은 IMD로서 사용하고자 하는 다공성 오가노실리케이트 필름의 기계적 안정성(mechanical robustness)을 증가시키는 방법을 제공한다.An advantage of the present invention is that material selection for extremely low k intermetallic dielectrics does not have to be constrained by consideration of the effects of plasma and wet clean damage on the material, because the material This is because by using the silylation method disclosed in the above, it can be restored to its original property after being damaged. In addition, the availability of reliable methods to restore the properties of films damaged by plasma exposure allows for more process options in reactive ion etching (RIE) and resist stripping operations required for dual damascene production, As a result, more stable and cheaper processing can be obtained. Finally, the present invention provides a method of increasing the mechanical robustness of a porous organosilicate film to be used as an IMD.

따라서, 본 발명은 반도체 칩 또는 칩 캐리어 또는 반도체 웨이퍼 내의 낮은 유전 상수 또는 매우 낮은 유전 상수의 절연층에 사용되는, 규소 원자에 부착된 수소 원자 또는 알킬기 또는 아릴기를 갖는 낮은 유전 상수 또는 매우 낮은 유전 상수의 오가노실리케이트 필름의 특성을 복원하는 방법에 관한 것으로, 여기서 상기 오가노실리케이트 필름은 그 특성을 열화시키는 경향이 있는 프로세싱을 수행한다. 상기 방법은, 상기 필름이 소수성을 갖도록, 상기 필름에 아미노실란을 포함하는 실릴화제(silylating agent)를 도포하는 단계를 포함한다. 상기 아미노실란은 일반식 (R2N)XSiR'Y를 가질 수 있으며, 여기서 X 및 Y는 각각 1 내지 2의 정수 및 3 내지 2의 정수이며, R 및 R'은 수소, 알킬, 아릴, 알릴, 페닐 및 비닐 부위로 이루어지는 군으로부터 선택된다. 아미노실란은 비스(디메틸아미노)디메틸실란인 것이 바람직하다.Accordingly, the present invention provides a low or very low dielectric constant having a hydrogen atom or an alkyl or aryl group attached to a silicon atom, which is used in an insulating layer of a low or very low dielectric constant in a semiconductor chip or chip carrier or semiconductor wafer. A method for restoring the properties of an organosilicate film of wherein the organosilicate film performs processing that tends to degrade its properties. The method includes applying a silylating agent comprising aminosilane to the film such that the film is hydrophobic. The aminosilane may have the general formula (R 2 N) X SiR ' Y , wherein X and Y are each an integer of 1 to 2 and an integer of 3 to 2, and R and R' are hydrogen, alkyl, aryl, Allyl, phenyl and vinyl moieties. The aminosilane is preferably bis (dimethylamino) dimethylsilane.

상기 아미노실란은 일반식 (R2N)XSiR'YR"Z를 가질 수 있으며, 여기서 X, Y 및 Z는 각각 1 내지 3의 정수, 3 내지 0의 정수, 및 3 내지 0의 정수이며, 단 X, Y 및 Z의 합은 4이고, R, R' 및 R"은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이다.The aminosilane may have the general formula (R 2 N) X SiR ' Y R " Z , wherein X, Y and Z are each an integer of 1 to 3, an integer of 3 to 0, and an integer of 3 to 0, respectively. Provided that the sum of X, Y and Z is 4 and R, R 'and R "are hydrogen, alkyl, aryl, allyl, phenyl or vinyl moieties.

본 발명은 또한 필름이 소수성을 갖도록, 필름에 실릴화제를 도포하는 단계로서, 상기 실릴화제는 RXHYSi-A 형태를 가지며, 여기서 X 및 Y는 각각 0 내지 2의 정수 및 3 내지 1의 정수이고, R은 임의의 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔, 클로로, 아미노 또는 알콕시 부위인 것인 단계를 포함하는 동일한 일반적인 방법에 관한 것이다. 상기 실릴화제는 아미노, 클로로 및 알콕시 종결된 일작용성 실릴화제(terminated monofunctional silylating agent)를 포함할 수 있으며, 여기서 상기 실릴화제 상의 메틸 부위는 적어도 부분적으로 수소 유사체(hydrogen analogues)에 의해 대체된다. 상기 실릴화제는 또한 아미노, 알콕시 또는 실라잔 종결된 말단 기(terminated end group)를 지닌 중합체 실록산을 포함할 수 있다. 중합체 실록산의 말단 기는 모노- 또는 디- 알킬, 아릴, 비닐 또는 수소 부위를 포함할 수 있다. 실록산은 아미노 종결된 폴리디메틸실록산을 포함할 수 있다.The present invention also provides a step of applying a silylating agent to a film such that the film is hydrophobic, wherein the silylating agent has a form of R X H Y Si-A, where X and Y are integers of 0 to 2 and 3 to 1, respectively. In which R is any hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane, chloro, amino or alkoxy moiety. The silylating agent may comprise amino, chloro and alkoxy terminated monofunctional silylating agents, wherein the methyl moiety on the silylating agent is at least partially replaced by hydrogen analogues. The silylating agent may also include polymeric siloxanes having amino, alkoxy or silazane terminated end groups. Terminal groups of polymeric siloxanes may include mono- or di-alkyl, aryl, vinyl or hydrogen moieties. The siloxanes may comprise amino terminated polydimethylsiloxanes.

상기 실릴화제는 또한 일반식 RXHYSiZA를 가지며, 여기서 X 및 Y는 각각 0 내지 5의 정수 및 6 내지 1의 정수이고, Z는 1 내지 2이며, R은 수소, 알킬, 아릴, 알릴, 페닐 또는 비닐 부위이며, A는 실라잔, 클로로, 아미노 또는 알콕시 부위이다. The silylating agent also has the general formula R X H Y Si Z A, where X and Y are each an integer from 0 to 5 and an integer from 6 to 1, Z is from 1 to 2, R is hydrogen, alkyl, aryl , Allyl, phenyl or vinyl moiety and A is a silazane, chloro, amino or alkoxy moiety.

본 발명에 따르면, 상기 프로세싱은 필름을 에칭하는 단계, 및 상기 필름으로부터 포토레지스트 물질을 제거하는 단계를 포함할 수 있으며, 상기 실릴화제는 상기 에칭 단계 및 상기 제거 단계 이후에 도포된다. 상기 에칭 및 상기 제거 단계는 상기 필름을 플라즈마에 노출시킴으로써 수행할 수 있다. 단일 다마신 또는 듀얼 다마신 프로세싱이 이용될 수 있으며, 실릴화제의 도포 단계는 인터커넥트 라인 및 비아 중 적어도 하나를 한정한 후에, 그리고 전기 도체를 증착하기 전에 수행할 수 있다. 실릴화제의 도포 단계는 전도성 라이너(conducting liner)를 증착하기 이전에 수행한다.According to the invention, the processing may include etching the film, and removing the photoresist material from the film, wherein the silylating agent is applied after the etching step and the removing step. The etching and removing may be performed by exposing the film to a plasma. Single damascene or dual damascene processing may be used, and the step of applying the silylating agent may be performed after defining at least one of the interconnect lines and vias, and prior to depositing the electrical conductor. The step of applying the silylating agent is performed prior to depositing the conducting liner.

실릴화제는 액체를 스핀 코팅하는 것, 기판을 액체 내에 함침시키는 것, 기판을 액체, 기체 상태 또는 초임계 이산화탄소에 용해된 상태로, 바람직하게는 알칸, 알켄, 케톤, 에테르 및 에스테르 중 적어도 하나를 포함하는 군으로부터 선택된 공용매와 함께 분무 코팅하는 것에 의해 도포될 수 있다. 유의해야 할 점은 실릴화제를 수분이 없는 상태 하에서 도포해야 한다는 점이다. 이 필름은, 양호하게는 1분 초과 시간 동안 적어도 350℃의 온도에서 또는 450℃ 정도로 높은 온도에서, 어닐링될 수 있다. 이 어닐링은 실릴화제를 도포하기 이전에 또는 이후에 수행할 수 있다. 실릴화제는 적어도 25℃의 온도에서 도포하는 것이 바람직하다. 이 어닐링은 비실릴화된 실라놀을 축합하는 것 및 추가의 실록산 결합을 형성하는 것 중 적어도 하나를 용이하게 해주기 위해 수행된다.The silylating agent may be obtained by spin coating a liquid, impregnating the substrate into a liquid, dissolving the substrate in a liquid, gaseous state or supercritical carbon dioxide, and preferably at least one of alkanes, alkenes, ketones, ethers and esters. It may be applied by spray coating with a cosolvent selected from the group comprising. It should be noted that the silylating agent should be applied in the absence of moisture. The film may be annealed, preferably at a temperature of at least 350 ° C. or at a temperature as high as 450 ° C. for more than one minute. This annealing can be performed before or after applying the silylating agent. The silylating agent is preferably applied at a temperature of at least 25 ° C. This annealing is performed to facilitate at least one of condensing the unsilylated silanol and forming additional siloxane bonds.

실릴화제는, 알칸, 알켄, 케톤, 에테르, 에스테르, 또는 이들의 임의의 조합물로 이루어진 군으로부터 선택되는 낮은 표면 장력을 갖는 비극성 유기 용매(non-polar organic solvent)를 비롯한, 용매에 용해될 수 있다. 양호하게는, 이 용매는 필름 내의 기공에 침투할 정도로 충분히 낮은 표면 장력을 갖는다. 이 실릴화제는 양호하게는 용매 중에서 2 중량% 내지 10 중량%의 농도를 가질 수 있지만, 용매 중에서 0.5 중량% 이상 정도로 낮은 농도를 가질 수도 있다.The silylating agent may be dissolved in a solvent, including a non-polar organic solvent having a low surface tension selected from the group consisting of alkanes, alkenes, ketones, ethers, esters, or any combination thereof. have. Preferably, this solvent has a surface tension low enough to penetrate the pores in the film. This silylating agent may preferably have a concentration of 2% to 10% by weight in the solvent, but may also have a concentration as low as 0.5% or more by weight in the solvent.

실릴화제는, 실온 이상에서, 1 분 내지 1 시간의 시간 동안 도포될 수 있다. 실릴화제가 도포될 때, 교반(agitation) 또는 초음파 처리(ultrasonification)가 이용될 수 있다. 이 필름은 과량의 실릴화제를 제거하기 위해서 세정될 수 있다. 이 필름은 양호하게는 450℃까지의 온도에서 소성 처리될 수 있다.The silylating agent may be applied at room temperature or higher for a time of 1 minute to 1 hour. When the silylating agent is applied, agitation or ultrasonication may be used. This film can be cleaned to remove excess silylating agent. The film may preferably be calcined at temperatures up to 450 ° C.

실릴화제는, 실온과 450℃ 사이의 온도에서 30초 내지 1 시간의 시간 동안, 또는 실질적으로 250℃에서 5분의 시간 동안, 기체 상태로 도포될 수 있다. 실릴화제는 초임계 이산화탄소 중에서, 25℃ 내지 450℃의 온도에, 1000 내지 10,000 psi의 압력으로, 30초 내지 1 시간의 시간 동안, 도포될 수 있다. 실릴화제는 또한 초임계 이산화탄소 또는 증기 매질 중에서 75℃를 넘는 온도에서 30초를 넘는 시간 동안 도포될 수 있다.The silylating agent may be applied in a gaseous state at a temperature between room temperature and 450 ° C. for a time of 30 seconds to 1 hour, or substantially at 250 ° C. for 5 minutes. The silylating agent may be applied in supercritical carbon dioxide, at a temperature of 25 ° C. to 450 ° C., at a pressure of 1000 to 10,000 psi, for a time of 30 seconds to 1 hour. The silylating agent may also be applied for over 30 seconds at a temperature above 75 ° C. in supercritical carbon dioxide or vapor medium.

실릴화제는 이작용성(difunctional)인 것이 바람직하다. 이는 (비스)디메틸아미노디메틸실란 또는 (비스)디메틸아미노메틸실란을 포함할 수 있다.The silylating agent is preferably difunctional. It may include (bis) dimethylaminodimethylsilane or (bis) dimethylaminomethylsilane.

실릴화제를 도포하는 단계는, 실라놀을 필름 내로 도입시키는, 자외선 방사, 오존에의 노출, 또는 약한 산화성 플라즈마(mildly oxdizing plasma)에의 노출 또는 이들의 조합 중 하나로 필름을 처리한 후에 수행한다. 이 방법은 화학 기상 증착 챔버 또는 원자층 증착 챔버(atomic layer deposition chamber)에서 수행될 수 있다.The step of applying the silylating agent is carried out after treating the film with either ultraviolet radiation, exposure to ozone, exposure to mildly oxdizing plasma, or a combination thereof, which introduces the silanol into the film. This method can be performed in a chemical vapor deposition chamber or an atomic layer deposition chamber.

본 발명에 따른 방법에 의해 복원되는 특성은 소수성, 탄성 계수, 낮은 유전 상수, 파괴 인성 및 경도, 유전 파괴 강도(dielectric breakdown strength), 낮은 유전 누설(dielectric leakage) 및 유전체 신뢰도(dielectric reliability) 중 적어도 하나를 포함한다. 이러한 복원된 필름이 집화적되어 있는 인터커넥트 구조체는 부가적으로, 이산화규소, 플로오르화된 테트라에틸 오르토실리케이트, 플루오르화된 실리카 유리, 플루오르화된 또는 비플루오르화된 유기 중합체, 열경화성 중합체 및 화학적 기상 증착된 중합체로 이루어진 군으로부터 선택되는 하나 이상의 금속간 유전체를 포함할 수 있다. 열경화성 중합체는 폴리페닐렌 에테르를 주성분으로 한다. 화학적 기상 증착된 중합체는 폴리 파라크실릴렌일 수 있다. 부가적인 금속간 유전체는 폴리이미드, 벤조사이클로부텐, 폴리벤즈옥사졸, 방향족으로 이루어진 군으로부터 선택되는 유기 중합체일 수 있다.The properties restored by the method according to the invention include at least hydrophobicity, modulus of elasticity, low dielectric constant, fracture toughness and hardness, dielectric breakdown strength, low dielectric leakage and dielectric reliability. It includes one. The interconnect structures in which these restored films are integrated further comprise silicon dioxide, fluorinated tetraethyl orthosilicate, fluorinated silica glass, fluorinated or non-fluorinated organic polymers, thermoset polymers and chemical vapor deposition. And one or more intermetallic dielectrics selected from the group consisting of polymers. Thermosetting polymers consist mainly of polyphenylene ethers. The chemical vapor deposited polymer may be poly paraxylylene. The additional intermetallic dielectric may be an organic polymer selected from the group consisting of polyimides, benzocyclobutenes, polybenzoxazoles, aromatics.

본 발명은 또한 내부에 형성된 복수의 전기 도체를 갖는 절연 물질; 및 규소 원자에 부착된 수소 원자, 알킬기 또는 아릴기를 갖는 오가노실리케이트 필름을 포함하는 금속간 유전체를 포함하며, 상기 오가노실리케이트 필름의 표면은 상기 방법들에서 언급한 실릴화제 중 하나와 상기 오가노실리케이트 필름 사이의 반응 생성물을 포함하는 것인 제조 물품에 관한 것이다. 상기 물품은 반도체 칩, 반도체 칩 캐리어 또는 반도체 웨이퍼로서 구성될 수 있다. 상기 표면은 상기 필름의 외부 표면 또는 상기 필름 내부의 기공의 표면일 수 있다.The invention also relates to an insulating material having a plurality of electrical conductors formed therein; And an intermetallic dielectric comprising an organosilicate film having a hydrogen atom, an alkyl group or an aryl group attached to a silicon atom, the surface of the organosilicate film being one of the silylating agents mentioned in the above methods and the organo It relates to an article of manufacture comprising a reaction product between silicate films. The article may be configured as a semiconductor chip, semiconductor chip carrier or semiconductor wafer. The surface may be an outer surface of the film or a surface of pores inside the film.

본 발명의 이러한 양태, 특징 및 이점과 다른 양태, 특징 및 이점은 도면과 관련하여 파악할 할 때 다음의 본 발명의 상세한 설명을 추가적으로 살펴보면 명백히 이해할 수 있을 것이다. 상기 도면을 간단히 살펴보면 다음과 같다.
도 1a 내지 도 1g는 표준의 듀얼 다마신 집적화 스킴에 대한 프로세스 흐름도를 도시한 개략도이다.
도 2는 매우 낮은 k 물질의 화학에 미치는 플라즈마 노출 및 실릴화의 효과를 예시하는 개략도이다.
도 3a는 일작용성 실릴화제가 어떻게 단지 하나의 단리된 실라놀만을 포획하고 이웃하는 실라놀을 차단하는지를 도시하는 개략도이다.
도 3b는 도 3a에서 사용된 실질화제의 이작용성 유사체(difunctional analog)가 2개의 이웃하는 실라놀을 어떻게 동시에 포획하는 데 성공하는지를 도시하는 개략도이다.
도 4a는 일작용성, 이작용성 및 삼작용성 실릴화제의 효과를 예시하는 일련의 FTIR 스펙트럼을 도시한 것이다.
도 4b는 도 4a의 일부를 확대한 도면이다.
도 5는 본래의(pristine) IMD, 플라즈마 손상된 IMD, BDMADMS 처리된 IMD, 및 BDMADMS 처리되고 어닐링된 IMD의 FTIR 스펙트럼 및 접촉각 데이터의 비교를 도시한 도면이다.
도 6은 본래의(pristine) 다공성 오가노실리케이트 IMD, 플라즈마 손상된 다공성 오가노실리케이트 IMD, BDMADMS 처리된 다공성 오가노실리케이트 IMD, 및 BDMADMS 처리되고 어닐링된 다공성 오가노실리케이트 IMD에 대한 파수(wave number)의 함수로서의 적외선 흡광도를 나타내는 그래프이다.
These and other aspects, features and advantages of the present invention will be apparent from the following detailed description of the present invention when taken in conjunction with the drawings. A brief look at the drawing is as follows.
1A-1G are schematic diagrams illustrating a process flow diagram for a standard dual damascene integration scheme.
2 is a schematic diagram illustrating the effect of plasma exposure and silylation on the chemistry of very low k materials.
3A is a schematic diagram showing how a monofunctional silylating agent captures only one isolated silanol and blocks neighboring silanol.
FIG. 3B is a schematic diagram showing how the difunctional analog of the silencing agent used in FIG. 3A succeeds in simultaneously capturing two neighboring silanols.
4A shows a series of FTIR spectra illustrating the effects of mono-, di-, and tri-functional silylating agents.
4B is an enlarged view of a portion of FIG. 4A.
FIG. 5 shows a comparison of FTIR spectra and contact angle data of pristine IMD, plasma damaged IMD, BDMADMS treated IMD, and BDMADMS treated and annealed IMD.
FIG. 6 shows wave numbers for pristine porous organosilicate IMD, plasma damaged porous organosilicate IMD, BDMADMS treated porous organosilicate IMD, and BDMADMS treated and annealed porous organosilicate IMD. It is a graph showing infrared absorbance as a function.

본 발명에 대해 기술된 변형예는 각각의 구체적인 적용에 바람직한 임의의 조합으로 실현될 수 있다. 따라서, 구체적인 적용에 대하여 구체적인 이점을 가질 수 있는 본 명세서에 기술된 구체적인 한정예 및/또는 실시 개량예는 모든 적용에 대하여 이용할 필요가 있는 것은 아니다. 또한, 본 발명의 하나 이상의 개념을 포함하는 방법, 시스템 및/또는 장치에서 모든 한정예가 꼭 구현될 필요가 있는 것은 아님을 이해해야 한다.Modifications described for the present invention can be realized in any combination desired for each specific application. Thus, the specific limitations and / or implementation improvements described herein that may have specific advantages for specific applications are not necessarily required for all applications. In addition, it should be understood that not all limitations need to be implemented in a method, system and / or apparatus that includes one or more concepts of the invention.

본 발명의 일차적인 실시양태(이후부터 "제1 실시양태"라고 함)은 유전체 특성의 복원에 아주 효과적인 실릴화제인 새로운 부류의 실릴화제의 사용에 관한 것이다. 게다가, 본 발명의 제1 실시양태는 또한 다공성 낮은 k 물질의 외부 표면 뿐만 아니라 벌크(모든 내부 기공 벽을 포함함)가 소수성을 갖도록 보장하기 위해서 그러한 실릴화제를 프로세스 내로 도입되는 방법에 관한 것이다. 마지막으로, 본 발명의 제2 실시양태는, 실릴화제로서 더 효과적이 되도록 하기 위해서, 종래 기술에서 사용되는 실라잔(silazane)과 같은 부위(moiety) 상에서의 특이적 분자 변형을 개시한다.A primary embodiment of the present invention (hereinafter referred to as "first embodiment") relates to the use of a new class of silylating agents, which are silylating agents which are very effective in restoring dielectric properties. In addition, the first embodiment of the present invention also relates to a method of introducing such silylating agents into the process to ensure that the bulk (including all internal pore walls) as well as the outer surface of the porous low k material are hydrophobic. Finally, a second embodiment of the present invention discloses specific molecular modifications on moiety, such as silazane, used in the prior art, in order to be more effective as a silylating agent.

본 발명의 제1 실시양태에서, 본 발명의 실릴화제는 인터커넥트 라인 및 비아를 한정한 후에, 그리고 인터커넥트 금속을 포함하는 전도성 라이너 및 충전 물질을 증착하기 전에 인터커넥트 구조체를 형성하기 위한 단일 또는 듀얼 다마신 프로세스 내로 도입된다. 구체적으로, 실릴화제는 낮은 k 물질의 반응성 이온 에칭(RIE)에 이어서 레지스트가 박리된 후에 도입된다. 도 1에 도시된 것과 같은 듀얼 다마신 스킴이 사용되는 경우, 본 발명의 실릴화제는 도 1e와 도 1f의 프로세스 단계 사이에 도입된다. 본 발명에서 상세히 설명된 실릴화제는 라인 레벨(line level) 또는 비아 레벨(via level)에 또는 그 둘 다에 조밀성 또는 다공성 오가노실리케이트를 갖는 인터커넥트 구조체에서 사용될 수 있다. 게다가, 실릴화제는 다른 오가노실리케이트와 조합하거나, 또는 SiO2, FSG, 플루오르화된 테트라에틸 오르토실리케이트(FTEOS), 또는 플루오르화된 또는 비플루오르화된 유기 중합체와 같은 물질과 조합하여 다공성 오가노실리케이트를 사용할 때의 구조체에 사용될 수 있다. 열거된 다른 물질들이 그 구조체의 일부일 수 있지만, 이들은 일반적으로 프로세싱 동안 본 명세서에 기술된 종류의 손상을 받지 않으며, 따라서 그 자체로서 실릴화 처리에 대하여 변경되지 않는다.In a first embodiment of the present invention, the silylating agent of the present invention is a single or dual damascene for forming interconnect structures after defining interconnect lines and vias and prior to depositing conductive liner and filler material comprising interconnect metal. Is introduced into the process. Specifically, the silylating agent is introduced after reactive ion etching (RIE) of the low k material followed by the resist stripping. When a dual damascene scheme such as that shown in Figure 1 is used, the silylating agent of the present invention is introduced between the process steps of Figures 1E and 1F. The silylating agents described in detail herein can be used in interconnect structures having a dense or porous organosilicate at the line level or via level, or both. In addition, the silylating agent may be combined with other organosilicates, or in combination with materials such as SiO 2 , FSG, fluorinated tetraethyl orthosilicate (FTEOS), or fluorinated or non-fluorinated organic polymers. It can be used in structures when using silicates. While the other materials listed may be part of the structure, they are generally not subjected to the kind of damage described herein during processing and thus are not themselves altered for the silylation treatment.

도 2의 개략도는 본 발명에서 사용되는 실릴화제가 낮은 k 오가노실리케이트 필름에서, 일반적인 프로세스 플라즈마 노출 동안 그 제거를 수행한 후에, 메틸 부위를 복원하는 것을 어떻게 성공하는지를 입증하여 보여준다. 반응 부위(reaction site)에서 이탈하는 실릴화제의 기("이탈기")는 새로운 실록산 결합을 형성하는 실라놀과 반응하여 그 실라놀을 탈양성자화하는 기이다. 따라서, 이탈기의 반응성은 실릴화 반응의 효능을 결정한다.The schematic diagram of FIG. 2 demonstrates how the silylating agent used in the present invention succeeds in restoring the methyl moiety after performing its removal during normal process plasma exposure in low k organosilicate films. The group of the silylating agent leaving the reaction site ("leaving group") is a group that reacts with silanol to form new siloxane bonds to deprotonate the silanol. Thus, the reactivity of the leaving group determines the efficacy of the silylation reaction.

본 발명의 제1 실시양태에서, 일반식 (R2N)XSiR'Y(여기서, X 및 Y는 각각 1 내지 2의 정수 및 3 내지 2의 정수임)으로 통용되고 있는 한 부류의 실릴화제는, 결과적으로 인터커넥트 금속을 보유하게 되는 라인 및 비아를 한정한 후에, 도입된다. 상기 일반식에서, R 및 R'은 필름이 소수성을 갖도록 만들 수 있는 임의의 수소, 알킬, 아릴, 페닐, 알릴, 또는 비닐 부위일 수 있다. 이러한 실릴화제는 일반적으로 아미노실란이라고 칭하며, 본 문헌의 이후 설명에서와 같이 칭할 것이다. 상기 실릴화제는 각각 X의 값이 1인지 2인지에 따라 일작용성(monofunctional) 또는 이작용성(difunctional)이라는 용어로 사용된다. 아미노실란은 스핀-온 프로세스(spin-on process)에 의해, 액체 상태로, 기체 상태로 (반응로 내에서 또는 CVD 챔버 내에서), 또는 초임계 이산화탄소 매질 중의 상태로 도입되지만, 모든 경우에 있어서는 주변 수분가 완전히 없는 상태에서 실릴화제를 처리하는 것이 아주 중요한데, 그 이유는 존재할지도 모르는 임의의 수분이 실릴화 반응의 효능을 감소시킬 수 있기 때문이다. 게다가, 실릴화 및 이것의 후속 어닐링의 조합 또는 어닐링 및 이것의 후속 실릴화 또는 고온 실릴화(양호하게는, 350℃ 보다 높음)의 조합이 실릴화 그 자체만보다는 양호한데, 그 이유는 그러한 조합이 결과적으로 필름에서의 실라놀 함량을 최대 감소시키기 때문이다. 어닐링 단계는 또한 필름에서의 임의의 남아 있는 비실릴화된 실라놀을 축합시키고 필름을 강화시키는 추가의 실록산 결합의 형성을 가능하게 해준다.In a first embodiment of the invention, one class of silylating agents commonly used in the formula (R 2 N) X SiR ' Y where X and Y are integers of 1 to 2 and integers of 3 to 2, respectively, After defining the lines and vias that result in retaining the interconnect metal, they are introduced. In the above formula, R and R 'may be any hydrogen, alkyl, aryl, phenyl, allyl, or vinyl moiety that can make the film hydrophobic. Such silylating agents are generally referred to as aminosilanes and will be referred to as described later in this document. The silylating agent is used in terms of monofunctional or difunctional depending on whether the value of X is 1 or 2, respectively. The aminosilane is introduced by a spin-on process, in the liquid state, in the gaseous state (in the reactor or in the CVD chamber), or in the supercritical carbon dioxide medium, but in all cases It is very important to treat the silylating agent in the complete absence of ambient moisture because any moisture that may be present can reduce the efficacy of the silylation reaction. Furthermore, the combination of silylation and subsequent annealing thereof or a combination of annealing and subsequent silylation or high temperature silylation thereof (preferably higher than 350 ° C.) is better than silylation itself, for such a combination This results in the maximum reduction of silanol content in the film. The annealing step also allows the formation of additional siloxane bonds that condense and reinforce any remaining unsilylated silanol in the film.

아미노실란이 액체 매질 중에서 사용되는 경우, 아미노실란은 기공이 효과적으로 침투될 수 있도록, 낮은 표면 장력을 갖는 임의의 비극성 유기 용매에 용해되는 것이 바람직하다. 이러한 용매의 예는 헥산, 헵탄, 크실렌 등을 포함하지만, 이에 한정되는 것은 아니다. 용매는, 인화점(flash point) 및 끓는점으로 측정되는 바와 같이, 낮은 휘발성을 갖는 것이 바람직하지만 꼭 그럴 필요는 없다. 효과적인 실릴화에 필요한 아미노실란의 농도는 0.5 중량%의 용액 정도로 낮을 수 있거나, 아미노실란은 그 자체로서 그의 희석된 액체 형태로 사용될 수 있다. 가장 효과적인 실릴화를 위한 원하는 범위는 일반적으로 2% 내지 10%의 용액이다. 이 용액은 다공성 낮은 k 필름에 스핀 코팅될 수 있거나, 다공성 낮은 k 필름에 한정된 인터커넥트 피처를 갖는 웨이퍼가 1분 내지 1시간 이상의 범위에 이르는 시간 동안 함침되는 습식 화학 탱크(wet chemical tank)에서 사용될 수 있다. 실릴화의 온도는 실온이거나 그 이상일 수 있다. 함침 동안 교반 또는 초음파 처리가 반응을 촉진하기 위해 필요하지는 않지만, 일부 용도에서는 반응 속도를 향상시키는 데 도움이 될 수 있다. 실릴화를 수행한 후, 웨이퍼는 순수 용매에서 세정되고 이어서 열판(hot plate) 상에서 또는 반응로에서 450℃까지의 온도로 가열될 수 있다. 액체 상태 실릴화는 또한 상기 단락에서 정의된 용액을 사용하고 이 용액을 스핀 코팅 또는 분무 코팅하여 수행할 수 있다.When aminosilane is used in a liquid medium, it is preferred that the aminosilane is dissolved in any nonpolar organic solvent having a low surface tension so that the pores can effectively penetrate. Examples of such solvents include, but are not limited to, hexane, heptane, xylene, and the like. The solvent preferably, but not necessarily, has a low volatility, as measured by flash point and boiling point. The concentration of aminosilane required for effective silylation can be as low as 0.5 wt% solution, or aminosilane can be used as such in its diluted liquid form. The desired range for the most effective silylation is generally 2% to 10% of the solution. This solution can be spin coated onto a porous low k film or used in a wet chemical tank where the wafer with interconnect features defined in the porous low k film is impregnated for a time ranging from 1 minute to over 1 hour. have. The temperature of the silylation may be at or above room temperature. While stirring or sonication during impregnation is not necessary to promote the reaction, in some applications it may help to speed up the reaction. After performing the silylation, the wafer may be cleaned in pure solvent and then heated to a temperature of up to 450 ° C. on a hot plate or in a reactor. Liquid state silylation can also be carried out using the solution defined in the paragraph above and by spin coating or spray coating the solution.

아미노실란을 사용하여 기체 상태 실릴화를 수행할 때, 캐리어 가스는 불활성이고 비산화성이며, 챔버는 수분가 없는 것이 중요하다. 챔버가 수분이 없지 않은 경우, 이작용성 및 삼작용성 아미노실란은 올리고머화되어 단일층 또는 필름을 각각 형성하는 경향이 있다. 이러한 단일층 및 필름의 형성은 바람직하지 않은데, 그 이유는 실릴화제의 필름과의 반응성이 일반적으로 느려지게 되고, 게다가 그 처리가 또한 상부 표면에 국한되며, 또 필름의 벌크 내의 기공이 소수성을 갖지 않게 되기 때문이다. 기체 상태 실릴화는 실온에서 450℃에 이르는 범위의 온도에서 30초 내지 1시간 이상의 범위에 이르는 시간 동안 수행할 수 있다. 기체 상태 실릴화에 양호한 시간 및 온도는 250℃에서 5분이다. 기체 상태 실릴화를 수행한 후에는, 450℃의 온도까지의 선택적인 열판 소성 처리 또는 반응로 경화 처리를 이용할 수 있다. 유전체 필름의 기체 상태 처리는, 화학적 기상 증착(CVD) 또는 원자층 증착(ALD)의 경우 반도체 산업에서 사용되는, 자유 직립 반응로(free standing furnace)에서, 플로-쓰루 챔버(flow-through chamber)에서, 또는 프로세싱 챔버에서 수행할 수 있다. 마지막 2가지 옵션이 특히 매력적인데, 그 이유는 이들 챔버가 수분, 기체 상태 화학종(vapor species)의 도입 및 기판 가열을 실질적으로 배제하는 베이스 진공(base vacuum)의 형성을 취급하도록 설계되어 있기 때문이고 유전체가 적절한 증기 전구체를 사용하는 CVD 또는 ALD를 사용하여 용이하게 행해질 수 있는 인터커넥트 금속 증착 단계 직전에 현장에서(in situ) 실릴화될 수 있기 때문이다.When performing gaseous silylation with aminosilane, it is important that the carrier gas is inert and non-oxidative, and the chamber is free of moisture. If the chamber is free of moisture, bifunctional and trifunctional aminosilanes tend to oligomerize to form monolayers or films, respectively. The formation of such monolayers and films is undesirable, because the reactivity of the silylating agent with the film is generally slow, and furthermore the treatment is also localized on the top surface and the pores in the bulk of the film are not hydrophobic. Because it is not. Gas phase silylation may be performed at a temperature ranging from room temperature to 450 ° C. for a time ranging from 30 seconds to 1 hour or more. Preferred times and temperatures for gaseous silylation are 5 minutes at 250 ° C. After performing gaseous silylation, an optional hot plate firing treatment or reactor hardening treatment up to a temperature of 450 ° C. may be used. Gas phase treatment of the dielectric film is a flow-through chamber in a free standing furnace, used in the semiconductor industry for chemical vapor deposition (CVD) or atomic layer deposition (ALD). Or in a processing chamber. The last two options are particularly attractive because these chambers are designed to handle the formation of a base vacuum that substantially excludes moisture, introduction of gaseous species and substrate heating. And the dielectric may be silicified in situ just prior to the interconnect metal deposition step, which can be easily done using CVD or ALD using an appropriate vapor precursor.

아미노실란이 초임계(SC) 이산화탄소(CO2) 매질 중에 함유되어 도입될 때, 이들은 그 자체로 도입되거나 임의의 적당한 공용매와 함께 도입될 수 있다. SC CO2 기초한 실릴화에 대한 온도, 압력 및 시간 범위는 다음과 같을 수 있다: 온도: 25℃ 내지 450℃, 압력: 1,000 내지 10,000 psi, 시간: 30초 내지 1시간 이상.When aminosilanes are introduced by inclusion in a supercritical (SC) carbon dioxide (CO 2 ) medium, they can be introduced on their own or with any suitable cosolvent. The temperature, pressure and time range for the SC CO 2 based silylation may be as follows: temperature: 25 ° C. to 450 ° C., pressure: 1,000 to 10,000 psi, time: 30 seconds to 1 hour or more.

75℃를 넘는 온도에서 30초를 넘는 시간 동안 SC CO2 또는 증기 매질에서 (비스)디메틸아미노디메틸실란(BDMADMS) 또는 (비스)디메틸아미노메틸실란과 같은 이작용성 아미노실란을 사용하고, 이어서 1분을 넘는 시간 동안 40℃에서 어닐링 처리하는 것이 바람직하다. 이작용성 실릴화제는 일반적으로 그 단일작용성 대응물보다 더 효과적인데, 그 이유는, 도 3b에 나타낸 바와 같이, 이작용성 실릴화제가 2개의 이웃하는 비수소 결합된 실라놀, 특히 제미날 실라놀(geminal silanol)을 동시에 포획할 용량을 갖기 때문이다(도 3b는 2개의 이웃하는 단리된 실라놀을 도시한 것이다). 일작용성 실릴화제는 일반적으로, 도 3a에 나타낸 바와 같이, 다른 일작용성 실릴화제가 이웃하는 실라놀과 용이하게 반응하지 못하게 입체적으로 방해하는 3개의 메틸 부위로 인해 2개의 이웃하는 실라놀을 포획할 수 없다. 삼작용성 실릴화제는 가교 결합하여 낮은 k 필름의 기공을 침투하지 않는 필름을 형성하는 경향을 갖는다. 게다가, 삼작용성 실릴화제가 3개의 실라놀을 동시에 포획하는 것이 가능하지 않은 사실로 인해, 실릴화제의 비반응된 말단 상에 추가적인 실라놀 형성의 가능성이 있다.Use bifunctional aminosilane, such as (bis) dimethylaminodimethylsilane (BDMADMS) or (bis) dimethylaminomethylsilane, in SC CO 2 or vapor medium for a time greater than 30 seconds at a temperature above 75 ° C. and then for 1 minute. It is preferred to anneal at 40 ° C. for more than a time. Bifunctional silylating agents are generally more effective than their monofunctional counterparts, as shown in FIG. 3B, where the bifunctional silylating agent has two neighboring non-hydrogen bonded silanols, in particular Geminal silanol. This is because it has the capacity to simultaneously capture geminal silanol (FIG. 3B shows two neighboring isolated silanols). The monofunctional silylating agent generally contains two neighboring silanols due to three methyl moieties that steric hindrance prevents other monofunctional silylating agents from easily reacting with the neighboring silanol, as shown in FIG. 3A. It cannot be captured. Trifunctional silylating agents have a tendency to crosslink to form films that do not penetrate the pores of low k films. Moreover, due to the fact that the trifunctional silylating agent is not capable of capturing three silanols simultaneously, there is the possibility of additional silanol formation on the unreacted ends of the silylating agents.

도 4는 일작용성, 이작용성 및 삼작용성 염소 종결된 실릴화제 간의 비교를 도시한 것으로, 여기서 실릴화는 수분이 없는 환경에서 액체 상태로 수행된다. 도 4의 FTIR 스펙트럼으로부터, 이작용성 제제(difunctional agent)가 필름의 메틸 함유량의 증가 및 실라놀 함량의 감소의 최적 조합을 보여준다는 것을 알 수 있다. 반응의 부산물이 침식성이 없다는 추가적인 이점을 갖는 아미노 종결된 실릴화제를 사용하면, 유사한 효과가 달성될 수 있다.4 shows a comparison between mono-, bi- and trifunctional chlorine terminated silylating agents, where the silylation is carried out in the liquid state in a moisture free environment. From the FTIR spectrum of FIG. 4, it can be seen that the difunctional agent shows the optimal combination of increasing methyl content and decreasing silanol content of the film. Similar effects can be achieved using amino terminated silylating agents with the additional advantage that the byproducts of the reaction are not erosive.

도 5에 도시된 바와 같이, BDMADMS에 의한 액체 상태 실릴화 및 후속되는 400℃에서의 어닐링은 다공성 낮은 k 필름의 소수성 및 메틸 함량을 복원한다.As shown in FIG. 5, liquid state silylation by BDMADMS and subsequent annealing at 400 ° C. restore the hydrophobicity and methyl content of the porous low k film.

표 1 및 표 2는 본 발명의 양호한 제제 BDMADMS에 의해 달성되는 접촉각(contact angle)과 종래 기술에서 사용되는 실릴화제 HMDS에 의해 달성되는 접촉간의 비교를 나타낸 것이다. 표 1에서 알 수 있는 바와 같이, BDMADMS는 접촉각을 복원하는 데 보다 더 효과적이다. 표 2는 BDMADMS의 효과가 주변에 4주간 노출한 후에도 감소되지 않는 반면, HMDS 실릴화된 낮은 k 물질의 접촉각이 감소하여 유전체 특성의 점진적인 열화를 나타낸다는 점을 보여준다. 표 3은, 일반적인 프로세스 플라즈마에 대한 노출 후 k가 증가된 후에, BDMADMS가 다공성 낮은 k 필름의 k를 복원함을 보여준다. 이와 유사하게, 유전체 손실 뿐만 아니라 유전체 파괴 강도가 BDMADMS로 처리된 필름의 경우에는 그의 원래의 값으로 복원된다.Tables 1 and 2 show a comparison between the contact angle achieved by the preferred formulation BDMADMS of the present invention and the contact achieved by the silylating agent HMDS used in the prior art. As can be seen in Table 1, BDMADMS is more effective at restoring contact angle. Table 2 shows that the effect of BDMADMS does not decrease after 4 weeks of exposure to ambient, while the contact angle of HMDS silylated low k material decreases, indicating a gradual degradation of dielectric properties. Table 3 shows that BDMADMS restores k of the porous low k film after k has been increased after exposure to a typical process plasma. Similarly, dielectric loss as well as dielectric breakdown strength are restored to their original values for films treated with BDMADMS.

실릴화 직후Immediately after silylation 처리process 접촉각(도)Contact angle (degrees) 본래의 필름
플라즈마 노출 후
HMDS 실릴화 후 + 400℃ 어닐링
BDMADMS 실릴화 후 + 400℃ 어닐링
Original film
After plasma exposure
Annealing at + 400 ° C after HMDS silylation
Annealing at + 400 ° C after BDMADMS silylation
104
0.5
85
107.8
104
0.5
85
107.8

실릴화의 4주 후4 weeks after silylation 처리process 접촉각(도)Contact angle (degrees) 본래의 필름
플라즈마 노출 후
HMDS 실릴화 후 + 400℃ 어닐링
BDMADMS 실릴화 후 + 400℃ 어닐링
Original film
After plasma exposure
Annealing at + 400 ° C after HMDS silylation
Annealing at + 400 ° C after BDMADMS silylation
104
0
81.3
107.7
104
0
81.3
107.7

필름/처리Film / processing 유전 상수Dielectric constant 본래의 필름
플라즈마 노출 후 + 400℃ 어닐링
BDMADMS 실릴화 후 + 400℃ 어닐링
Original film
+ 400 ° C annealing after plasma exposure
Annealing at + 400 ° C after BDMADMS silylation
2.1
2.42
1.95
2.1
2.42
1.95

도 6으로부터, 실릴화는 오가노실리케이트의 구조적 형태(structural morphology)를 변경하며 골격을 케이지형(cage like)보다 더 많은 망상구조형(network like)으로 만들며, 그 결과로부터 기계적 특성이 향상된다는 것을 알 수 있다. 이것은 실릴화 반응이 필름의 기계적 강도를 향상시키는 실록산 결합을 형성하는 새로운 망상구조를 형성한다는 사실에 기인한다. 필름에서의 망상구조의 범위를 알려주는 약 1067(1/cm)의 파수에서의 적외선 피크는, 도 6의 FTIR 스펙트럼에서 알 수 있는 바와 같이, 실릴화 처리에 의한 현저한 높이 증가를 나타낸다. 표 4를 참조할 수 있다.From FIG. 6, the silylation alters the structural morphology of the organosilicate and makes the skeleton more network like than the cage like, from which the mechanical properties are improved. Can be. This is due to the fact that the silylation reaction forms a new network that forms siloxane bonds that enhance the mechanical strength of the film. An infrared peak at a wavenumber of about 1067 (1 / cm) indicating the range of the network structure in the film shows a significant height increase due to the silylation treatment, as can be seen in the FTIR spectrum of FIG. 6. See Table 4.

클로로실란Chlorosilanes 아미노실란Aminosilane 알콕시실란Alkoxysilane 이탈기
해리 에너지
Me3Si-X(kcal/mol)
Leaving machine
Harry energy
Me 3 Si-X (kcal / mol)
HCL
117
HCL
117
NHR2
98
NHR 2
98
ROH
123
ROH
123

상기한 바와 같이, 실릴화 반응, 이에 후속되는 반응로 어닐링 처리는 임의의 남아 있는 실라놀을 축합하며, 기계적 강도를 추가적으로 향상시키는 새로운 실록산 결합을 형성한다.As noted above, the silylation reaction, followed by the reactor annealing treatment, condenses any remaining silanol and forms new siloxane bonds that further enhance mechanical strength.

제2 실시양태Second embodiment

제1 실시양태는 일반적으로 이작용성 실릴화제의 효능을, 구체적으로 BDMADMS의 효능을 보여준다. 제1 실시양태는 또한, 실릴화제에 대한 3개의 메틸 부위에 의해 제공되는 입체 장애로 인하여, HMDS 및 TMCS와 같은 일작용성 실릴화제가 그 이작용성 대응물만큼 효과적이지 않음도 보여준다. 그렇지만, 실릴화제 상의 메틸 부위를 보다 작은 수소 부위로 적절히 치환시키는 것을 통해, 이러한 문제를 극복하는 것이 가능하다. 예를 들어, BEMDS 대신에, 테트라메틸디실라잔(TMDS)을 사용하는 것은 결과적으로 입체 장애를 감소시키고 보다 효과적인 실릴화 반응을 생성한다. 이와 유사하게, 실릴화에서의 보다 큰 성공은 아미노, 클로로, 및 알콕시 종결된 일작용성 실릴화제로 증명될 수 있으며, 여기서 메틸 부위는 적어도 부분적으로 수소 유사체에 의해 치환된다. 따라서, 일반식 RXHYSi-A(여기서, X 및 Y는 각각 0 내지 2의 정수 및 3 내지 1의 정수임)를 갖는 실릴화제가 효과적인 실릴화제로서 사용될 수 있다. 상기 실시양태에 기술된 바와 같이, 실릴화 반응과 후속적인 어닐링 처리는 임의의 남아 있는 실라놀을 축합하며, 기계적 강도를 추가적으로 향상시키는 새로운 실록산 결합을 형성한다.The first embodiment generally shows the efficacy of the bifunctional silylating agent, specifically the efficacy of BDMADMS. The first embodiment also shows that due to the steric hindrance provided by the three methyl sites for the silylating agent, monofunctional silylating agents such as HMDS and TMCS are not as effective as their bifunctional counterparts. However, it is possible to overcome this problem by appropriately replacing the methyl moiety on the silylating agent with a smaller hydrogen moiety. For example, using tetramethyldisilazane (TMDS) instead of BEMDS results in reducing steric hindrance and producing more effective silylation reactions. Similarly, greater success in silylation can be demonstrated with amino, chloro, and alkoxy terminated monofunctional silylating agents, wherein the methyl moiety is at least partially substituted by hydrogen analogs. Thus, silylating agents having the general formula R X H Y Si-A, wherein X and Y are integers from 0 to 2 and integers from 3 to 1, respectively, can be used as effective silylating agents. As described in the above embodiments, the silylation reaction and subsequent annealing treatment condenses any remaining silanol and forms new siloxane bonds that further enhance mechanical strength.

제3 실시양태Third embodiment

다공성 낮은 k 필름의 기공을 침투할 필요가 없는 적용의 경우, 모노- 또는 디-알킬, 아릴, 비닐 또는 수소 부위를 지닌 아미노, 알콕시, 클로로 또는 실라잔 종결된 말단 기를 갖는 중합체 실록산은 낮은 k 필름의 상부 표면 상에 단층을 형성하기 위해서, 그리고 표면 소수성을 복원하기 위해서 사용될 수 있다. 이러한 실록산의 한 예로는 아미노 종결된 폴리디메틸실록산이 있다. 그러한 실록산은 인터커넥트 구조체의 형성을 위한 오가노실리케이트 내의 트렌치 및 비아를 형성하는 에칭 프로세스에 의해 생성된 간극 내로 실릴화제가 흘러들어가도록 그 분자량이 충분히 낮게 보장하는 것이 중요하다. 상기한 실시양태에 기술된 바와 같이, 실릴화 반응과 이에 후속적인 어닐링 처리는 임의의 남아 있는 실라놀을 축합하며, 기계적 강도를 추가적으로 향상시키는 새로운 실록산 결합을 형성한다.For applications that do not need to penetrate the pores of a porous low k film, the polymer siloxane with amino, alkoxy, chloro or silazane terminated end groups with mono- or di-alkyl, aryl, vinyl or hydrogen moieties is a low k film. It can be used to form a monolayer on the upper surface of the and to restore surface hydrophobicity. One example of such a siloxane is an amino terminated polydimethylsiloxane. It is important to ensure that the siloxane is sufficiently low in molecular weight to allow the silylating agent to flow into the gap created by the etching process to form trenches and vias in the organosilicate for the formation of the interconnect structure. As described in the above embodiments, the silylation reaction and subsequent annealing treatment condenses any remaining silanol and forms new siloxane bonds that further enhance mechanical strength.

제4 실시양태Fourth embodiment

실릴화제는 또한 필름이 증착된 직후에 도입될 수 있다. 이 경우의 효능은 증착 후 실라놀이 필름 내에 얼마나 많이 존재하는지에 따라 달려 있다. 본 실시양태에서, 실릴화제는 또한 필름 내로 실라놀을 도입하는 UV/오존 또는 약한 산화성 플라즈마 노출과 같은 처리 후에 도입될 수 있다. 이전의 실시양태에서, 실릴화 후에는 열적 어닐링 처리가 수행된다. 상기한 3가지 실시양태들 중 임의의 것에 기술된 실릴화제가 이러한 방식으로 이용될 수 있다. CVD 증착된 필름의 경우, 실릴화제는 동시 증착되거나 CVD 유전체를 위한 전구체와 함께 챔버 내에 도입될 수 있다.The silylating agent may also be introduced immediately after the film is deposited. The efficacy in this case depends on how much is present in the silanol film after deposition. In this embodiment, the silylating agent may also be introduced after treatment such as UV / ozone or mild oxidative plasma exposure to introduce silanol into the film. In previous embodiments, a thermal annealing treatment is performed after silylation. The silylating agents described in any of the three embodiments described above may be used in this manner. In the case of a CVD deposited film, the silylating agent may be co-deposited or introduced into the chamber with a precursor for the CVD dielectric.

유의할 점은 이상 설명한 내용이 본 발명의 보다 적절한 목적 및 실시양태 중 일부에 대하여 기술한 것이라는 점이다. 본 발명의 개념은 수 많은 적용에 대해 이용될 수 있다. 따라서, 구체적인 구성 및 방법에 대한 설명이 기술되어 있긴 하지만, 본 발명의 목적 및 개념은 다른 구성 및 적용에도 적합하고 응용가능하다. 당업자에게는 개시된 실시양태에 대한 다른 변형예가 본 발명의 사상 및 영역을 벗어나는 일 없이 이루어질 수 있다는 점을 명백히 이해할 수 있을 것이다. 기술된 실시양태들은 단지 본 발명의 보다 두드러진 특징 및 적용 중 일부만을 예시한 것에 불과한 것으로 해석되어야 한다. 다른 유익한 결과는 개시된 발명을 다른 방식으로 적용하거나, 또는 본 발명을 당업자가 알고 있는 방식으로 변형함으로써 실현될 수 있다. 따라서, 본 실시양태는 제한으로서 아니라 예로서 제공된 것이라는 것을 잘 알 것이다. 본 발명의 영역은 첨부된 청구의 범위에 의해 한정된다.It should be noted that what has been described above has been described with respect to some of the more suitable objects and embodiments of the invention. The concept of the present invention can be used for many applications. Thus, although descriptions of specific configurations and methods have been described, the objects and concepts of the present invention are suitable and applicable to other configurations and applications. It will be apparent to those skilled in the art that other modifications to the disclosed embodiments can be made without departing from the spirit and scope of the invention. The described embodiments are to be construed as merely illustrative of some of the more salient features and applications of the present invention. Other beneficial results can be realized by applying the disclosed invention in other ways, or by modifying the invention in a manner known to those skilled in the art. Thus, it will be appreciated that the present embodiments are provided by way of example and not as limitation. It is intended that the scope of the invention be defined by the claims appended hereto.

Claims (2)

반도체 칩 또는 칩 캐리어 또는 반도체 웨이퍼 내의 3.1 이하의 유전 상수의 절연층에서, 규소 원자에 부착된 수소 원자 또는 알킬기 또는 아릴기를 갖는 오가노실리케이트 필름의 특성을 복원하는 방법(여기서, 상기 오가노실리케이트 필름에 대해 그 특성을 열화시키는 경향이 있는 프로세싱을 수행함)으로서, 상기 필름이 소수성을 갖도록, 상기 필름에 실릴화제를 도포하는 단계로서, 상기 실릴화제는 이작용성 아미노 또는 이작용성 염소 종결된 말단기를 지닌 중합체 실록산을 포함하는 것인 단계를 포함하는 방법.A method for restoring the properties of an organosilicate film having a hydrogen atom or an alkyl or aryl group attached to a silicon atom in an insulating layer of dielectric constant of 3.1 or less in a semiconductor chip or chip carrier or semiconductor wafer, wherein the organosilicate film To the film, wherein the silylating agent is applied to the film such that the film is hydrophobic, wherein the silylating agent is a bifunctional amino or difunctional chlorine terminated terminal group. And a polymeric siloxane having a siloxane. 제조 물품으로서, 내부에 형성된 복수의 전기 도체를 갖는 절연 물질, 및 규소 원자에 부착된 수소 원자 또는 알킬기 또는 아릴기를 갖는 오가노실리케이트 필름을 포함하는 금속간 유전체를 포함하고, 상기 오가노실리케이트 필름의 표면은 실릴화제와 상기 필름의 오가노실리케이트와의 생성물을 포함하되, 상기 실릴화제는 이작용성 아미노 또는 이작용성 염소 종결된 말단기를 지닌 중합체 실록산을 포함하는 것인 제조 물품.An article of manufacture comprising an intermetallic dielectric comprising an insulating material having a plurality of electrical conductors formed therein, and an organosilicate film having a hydrogen atom or an alkyl group or an aryl group attached to a silicon atom, wherein the organosilicate film Wherein the surface comprises a product of a silylating agent and an organosilicate of the film, wherein the silylating agent comprises a polymeric siloxane having a bifunctional amino or difunctional chlorine terminated end group.
KR1020107016122A 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics KR101063591B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2004/035685 WO2006049595A1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020097021355A Division KR100985613B1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Publications (2)

Publication Number Publication Date
KR20100088166A KR20100088166A (en) 2010-08-06
KR101063591B1 true KR101063591B1 (en) 2011-09-07

Family

ID=34959194

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020097021355A KR100985613B1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR1020097021356A KR100974042B1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR1020107016122A KR101063591B1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020097021355A KR100985613B1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR1020097021356A KR100974042B1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Country Status (5)

Country Link
EP (1) EP1812961A1 (en)
JP (1) JP4594988B2 (en)
KR (3) KR100985613B1 (en)
CN (1) CN101048857B (en)
WO (1) WO2006049595A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9929098B2 (en) 2015-03-30 2018-03-27 Samsung Electronics Co., Ltd. Copper via with barrier layer and cap layer

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7446058B2 (en) 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
DE112007000177T5 (en) * 2006-09-07 2008-10-23 Tokyo Electron Limited Substrate processing method and storage medium
JP4999419B2 (en) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 Substrate processing method, substrate processing system, and computer-readable storage medium
JP4814054B2 (en) * 2006-11-02 2011-11-09 三井化学株式会社 LAMINATED STRUCTURE, ITS MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE USING LAMINATE STRUCTURE
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4977508B2 (en) * 2007-03-26 2012-07-18 アイメック Method for processing damaged porous dielectric
JP5132244B2 (en) * 2007-10-18 2013-01-30 大陽日酸株式会社 Insulation film damage recovery method and recovery agent
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
JP5705751B2 (en) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cyclic amino compounds for low-k silylation
GB0921707D0 (en) * 2009-12-11 2010-01-27 Cambridge Display Tech Ltd Electronic devices
JP5404361B2 (en) 2009-12-11 2014-01-29 株式会社東芝 Semiconductor substrate surface treatment apparatus and method
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
KR101266620B1 (en) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing method and substrate processing apparatus
JP5662081B2 (en) * 2010-08-20 2015-01-28 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
DE102010040071B4 (en) 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method for restoring surface properties of sensitive low ε dielectrics in microstructure devices using in-situ surface modification
JP2012222329A (en) * 2011-04-14 2012-11-12 Tokyo Electron Ltd Liquid processing method and liquid processing device
US8575041B2 (en) 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
CN103426733A (en) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 Ultra-low-K dielectric layer treatment method
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
TW201403711A (en) * 2012-07-02 2014-01-16 Applied Materials Inc Low-k dielectric damage repair by vapor-phase chemical exposure
TWI612182B (en) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 Method of etching semiconductor structures with etch gases
JP6258151B2 (en) * 2013-09-25 2018-01-10 信越化学工業株式会社 Photomask blank and manufacturing method thereof
JP6234898B2 (en) 2013-09-25 2017-11-22 信越化学工業株式会社 Photomask blank manufacturing method
US10692773B2 (en) * 2018-06-29 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing low-K gate spacer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
DE69827259T2 (en) * 1997-07-15 2006-02-16 Asahi Kasei Kabushiki Kaisha ALKOXYSILANE AND ORGANIC POLYMER COMPOSITIONS FOR THE PREPARATION OF THIN ISOLATING LAYERS AND THEIR USE
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
WO2001018860A2 (en) * 1999-09-09 2001-03-15 Alliedsignal Inc. Improved apparatus and methods for integrated circuit planarization
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
WO2003077032A1 (en) * 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
JP2003282698A (en) * 2002-03-22 2003-10-03 Sony Corp Method for fabricating semiconductor and the same
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9929098B2 (en) 2015-03-30 2018-03-27 Samsung Electronics Co., Ltd. Copper via with barrier layer and cap layer

Also Published As

Publication number Publication date
KR100974042B1 (en) 2010-08-05
KR20090113389A (en) 2009-10-30
EP1812961A1 (en) 2007-08-01
JP4594988B2 (en) 2010-12-08
KR20090111883A (en) 2009-10-27
CN101048857B (en) 2010-10-13
CN101048857A (en) 2007-10-03
KR20100088166A (en) 2010-08-06
WO2006049595A1 (en) 2006-05-11
JP2008518460A (en) 2008-05-29
KR100985613B1 (en) 2010-10-05

Similar Documents

Publication Publication Date Title
KR101063591B1 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7687913B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8470706B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
US7972976B1 (en) VLSI fabrication processes for introducing pores into dielectric materials
US7781332B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US20060220251A1 (en) Reducing internal film stress in dielectric film
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US20030219973A1 (en) Tri-layer masking architecture for patterning dual damascene interconnects
JP5324734B2 (en) Dielectric material and manufacturing method thereof
WO2009085098A1 (en) Vapor phase repair and pore sealing of low-k dielectric materials
CN1741254A (en) Repair of carbon depletion in low-k dielectric films
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
US20100301494A1 (en) Re-establishing a hydrophobic surface of sensitive low-k dielectrics in microstructure devices
KR100935620B1 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR101443999B1 (en) Method for manufacturing semiconductor device
US20060226516A1 (en) Silicon-doped carbon dielectrics
KR101013483B1 (en) Method of manufacturing semiconductor device
US20130056874A1 (en) Protection of intermetal dielectric layers in multilevel wiring structures

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee