CN101048857A - 用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复 - Google Patents

用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复 Download PDF

Info

Publication number
CN101048857A
CN101048857A CNA2004800442976A CN200480044297A CN101048857A CN 101048857 A CN101048857 A CN 101048857A CN A2004800442976 A CNA2004800442976 A CN A2004800442976A CN 200480044297 A CN200480044297 A CN 200480044297A CN 101048857 A CN101048857 A CN 101048857A
Authority
CN
China
Prior art keywords
film
silanizing agent
aryl
alkyl
organosilicate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800442976A
Other languages
English (en)
Other versions
CN101048857B (zh
Inventor
N·查克拉潘尼
M·E·科尔伯恩
C·D·迪米特拉克普洛斯
S·V·尼塔
D·法伊弗
S·普鲁肖特哈曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101048857A publication Critical patent/CN101048857A/zh
Application granted granted Critical
Publication of CN101048857B publication Critical patent/CN101048857B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

通常用于降低集成电路中RC延迟的是多孔有机硅酸盐的电介质膜,该有机硅酸盐含有二氧化硅状主链与直接连接到网络中S∶L原子的烷基或芳基(以对材料增加疏水性和产生自由体积)。Si-R键很少幸免于对等离子体或通常用于加工的化学处理的曝露;在具有开孔结构的材料中特别是这样的。当Si-R键断裂时,材料由于亲水性硅烷醇的形成而损失疏水性和损害低介电常数。使用新颖类别的硅烷化剂恢复材料的疏水性的方法,该硅烷化剂具有通式(R2N)XSiR’Y,其中X和Y分别是1-2和3-2的整数,和其中R和R’选自氢、烷基、芳基、烯丙基、苯基和乙烯基部分。多孔有机硅酸盐的机械强度也由于甲硅烷基化处理而改进。

Description

用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复
发明领域
本发明涉及在用于计算机,微处理器,微控制器,传感器,通讯设备等的非常高性能微电子芯片上的互连布线网络。特别地,在此所述的本发明的结构涉及显著降低与这些导线相关的信号传播延迟。详细描述和要求的本发明的方法提供在将低介电常数电介质通过要求的等离子体曝露变亲水性之后,要求恢复低介电常数电介质的介电性能的化学和加工和涉及要求在将多孔有机硅酸盐(organosilicate)电介质沉积之后和在构造包括这些膜的互连结构的过程期间增加机械强度,和保持多孔有机硅酸盐电介质的低介电常数要求的化学和方法。本发明进一步涉及能够使这些材料集成到这样的芯片中的方法。
发明背景
高性能微处理器,微控制器和通讯芯片要求在有源晶体管器件之间非常高速度的互连,该器件用于执行各种功能如逻辑操作,存储和提取数据,提供控制信号等。随着导致目前超大规模集成的晶体管器件技术的进展,这些先进芯片的总体操作速度开始受到芯片上单个器件之间的互连线中的信号传播延迟的限制。互连中的信号传播延迟依赖于RC乘积,其中R表示互连线的电阻和C代表其中嵌入导线的互连方案的总体电容。铜代替铝作为互连接线材料的使用允许对RC乘积起贡献的电阻的降低。微电子工业中的目前焦点是在芯片上构造多层互连结构中通过使用更低介电常数(k)绝缘体降低互连电容。
在这样小规模上产生互连接线网络的一种现有技术方法是图1a-1g中简要显示的双大马士革互连(DD)工艺。参考图1a,在标准DD工艺中,显示为两个层1110,1120的金属间电介质(IMD)在衬底1100上涂覆。为工艺流程描述清楚起见单独显示通路水平电介质1110和线水平电介质1120。通常,这两个层可以由相同或不同绝缘膜组成和在前者情况下可以应用为单片层。硬掩模层或层状堆叠体1130任选地用于促进蚀刻选择性和用作抛光停止。接线互连网络由两种类型的特征组成:越过经过芯片的距离的线特征,和在不同互连水平下在多水平堆叠体中将线连接在一起的通路特征。历史上,两个层从无机玻璃如二氧化硅(SiO2)或氟化二氧化硅玻璃(FSG)膜制备,该膜由等离子体增强的化学气相沉积(PECVD)沉积。
参考图1b和图1c,在双大马士革互连工艺中,线1150和通路1170的位置分别在光刻胶层1500和1510中平版印刷定义,和使用反应性离子蚀刻工艺转移入硬掩模和IMD层。图1a到1d所示的工艺顺序称为″线第一″方案。在沟槽形成之后,平版印刷用于定义光刻胶层1510中的通路图案1170和将图案转移入电介质材料以产生通路开口1180,如图1d所示。在剥离光刻胶之后双大马士革互连沟槽和通路结构1190见图1e。
如图1f所示,然后将凹陷结构1190采用导电衬里材料或材料堆叠体1200涂覆,它用于保护导体金属线和通路和用作在导体和IMD之间的粘合层。此凹陷然后用导电填充材料1210在有图案衬底的表面上填充。填充最通常由铜的电镀完成,尽管其它方法如化学气相沉积(CVD)和其它材料如铝或金也可以使用。将填充和衬里材料然后化学机械抛光(CMP)以与硬掩模的表面共平面和在此阶段的结构见图1f。将封盖材料1220沉积为覆盖膜,如图1g所示,以钝化曝露的金属表面和用作在金属和要在它们之上沉积的任何另外IMD层之间的扩散屏蔽。由PECVD沉积的氮化硅、碳化硅、和碳氮化硅膜典型地用作封盖材料1220。此工艺序列对于器件上的每个互连水平重复。由于同时定义两个互连特征以由单一抛光步骤形成在绝缘体内嵌入的导体,此工艺称为双大马士革互连工艺。
为降低电容,必须使用k值为2.5-3.1范围的更低k电介质如PECVD或旋涂有机硅酸盐代替PECVD二氧化硅类电介质(k=3.6-4.1)。这些有机硅酸盐含有二氧化硅类主链与直接连接到网络中Si原子的氢和/或有机基团如烷基或芳基。它们的元素组合物通常由Si,C,O,和H采用各种比例组成。C和H最通常以甲基(-CH3)的形式存在。这些甲基的主要功能是对材料增加疏水性。第二功能是在这些膜中产生自由体积和降低它们的极性。通过在这些绝缘体中引入孔隙可以将k值进一步降低到2.2(超低k)和甚至低于2.0(极低k)。为简洁目的,这些超低k和极低k材料在此文献中统称为非常低k材料。
尽管k值的可调节范围采用此组非常低k材料是可能的,在由上述双大马士革互连工艺或由双大马士革互连工艺的任何其它变体集成这些材料与铜互连中具有几个难度。主要的难度在于,由于氧化的相对容易或Si-有机基团键(例如,Si-甲基)的断裂,有机硅酸盐类材料对等离子体曝光非常敏感,在膜中通过与环境中水分的潜在反应它导致硅烷醇(Si-OH)基团的形成。硅烷醇吸收水和因此显著增加膜的介电常数和介电损耗因子,因此否定从非常低k膜期望的性能益处。它们也增加膜中的电泄漏和因此产生潜在不可靠的互连结构。由于反应性离子蚀刻和等离子体蚀刻是上述双大马士革互连沟槽和通路结构形成和脱除用于将非常低k材料形成图案的光刻胶中要求的关键步骤,非常难以,如果不是不可能的话,避免在现有技术双大马士革互连集成期间此类别膜的等离子体损害。
已进行几个尝试以便使用由He,H2,N2、CO等一些或所有组成的非氧化抗蚀剂剥离等离子体最小化低k膜中的疏水性损失。然而,必须注意到这些等离子体化学中没有一种完全成功地防止非常低k材料的疏水性损失。对于具有非常大表面积和容易在抗蚀剂剥离工艺期间受到损害的多孔低k材料,这特别是这样。
防止低k材料损失它的疏水性和它的介电性能的另一种方法是使用氟化或非氟化有机聚合物类低k材料如Dow Chemical的SiLKTM电介质,Honeywell的FlareTM和其它聚酰亚胺,苯并环丁烯,聚苯并噁唑,基于聚苯醚的芳族热固性聚合物;和化学气相沉积的聚合物如聚对二甲苯,它们在与双大马士革互连加工相关的传统工艺等离子体曝光期间不易于受到损害。然而,这些材料不具有低k电介质膜要求的其它性能如低热膨胀和小的孔尺寸。
面对有机硅酸盐类多孔材料的成功集成的另一个问题在于它们由于它们的低弹性模量,通常导致CMP,切片和包装操作中故障的断裂韧性和硬度而是非常机械易碎的。这些树脂的机械强度依赖于空隙体积以及它们的化学结构两者。它们的机械强度随增加的孔隙率以及增加的硅氧烷主链的笼状结构而降低。由于需要保持低介电常数,非常难以在保持相同机械强度的同时降低空隙体积。
几个方法(Padhi等人,J.Electrochem.Soc.,150(1),G10-G14,(2003),和Canaperi等人的美国专利申请公开US2004/0087135 A1,受让于本发明的相同受让人)已提出处理具有弱机械强度的多孔有机硅酸盐材料,但大多数这些方法由于如下事实难以实施:这些方法涉及非标准工艺流程或非标准工具。因此它们在生产中实施较昂贵。
在关于多孔二氧化硅类膜的文献中,(例如,Prakash等人,Nature,374,439,(1995)),通过湿化学处理完成在成膜期间引入疏水性端基的表面改性,其中通过低表面张力载体溶剂将硅烷化剂(三甲基氯硅烷-TMCS)引入多孔网络。称为甲硅烷基化的这样反应对于在形成过程中的膜是可行的,这是由于存在许多自由体积和其它方面会缩合和桥接的大量硅烷醇。迄今为止,不清楚是否可以在完全形成的膜上进相似的反应,该完全形成的膜甚至在对损害膜的工艺化学曝露之后,具有比形成过程中的膜少的硅烷醇。已存在由Chang等人公开的研究,(J.Electrochem Soc,149,8,F81-F84,2002),其中尝试使用六甲基二硅氮烷(HMDS)作为硅烷化剂以在损害之后恢复多孔OSG膜的疏水性和碳含量。然而,从它的结果清楚的是在任何介质中的HMDS不能完全地恢复多孔OSG膜的性能。相似地,TMCS在恢复介电性能中也不完全有效。HMDS和TMCS两者是具有侵袭低k材料的表面和孔壁上每分子仅单一分离硅烷醇基团的能力的单官能硅烷化剂。然而,有机硅酸盐类低k材料具有含有分类如下的两种不同类型的硅烷醇(Gun′ko等人,J.Colloid和Interface Sci 228,157-170(2000)):第一种类型的硅烷醇是非氢键合硅烷醇,它在自身中由如下部分组成:(1)完全非相互作用的单一硅烷醇(也称为分离的硅烷醇),它不含有邻近的任何相邻硅烷醇,(2)非常弱相互作用的硅烷醇,和(3)弱和非相互使用的偕位硅烷醇(也称为二硅烷醇)。第二种类型的硅烷醇是氢键合硅烷醇。大多数单官能硅烷化剂容易侵袭和替代分离的硅烷醇,但通常不容易侵袭其它两种类型的非氢键合硅烷醇。为此的主要原因在于空间位阻防止多于一个硅烷醇容易地由单官能硅烷化剂的同时捕获。另外,也重要的是使用具有最反应性官能度的硅烷化剂以容易甲硅烷基化低k材料的表面和孔壁而不释放腐蚀性的反应副产物。
Hu等人,(J.of Electrochem.Soc.,150(4)F61-F66(2003))也公开了一种研究,其中他们检查二甲基二氯硅烷(DMDCS)作为硅烷化剂以恢复低k材料的性能的效率。然而,在他们的研究中,他们报导二甲基二氯硅烷在膜的顶部表面上形成单层和不渗透多孔低k材料的本体。因此,除非使用适当的甲硅烷基化介质以及用于甲硅烷基化的条件,难以恢复低k材料的本体介电性能。另外,任何氯系硅烷化剂如二甲基二氯硅烷和TMCS的副产物是氯化氢,它是腐蚀性的和不能用于包含铜的互连结构中。
发明概述
因此本发明的一方面是提供低成本,非破坏性方法以在沉积和固化之后通过改变多孔有机硅酸盐树脂的笼对网络比例而增加机械强度。
因此本发明的目的是提供一种类别的硅烷化剂以及采用它们的工艺流程,通过该工艺流程在工艺曝光后完全恢复材料的疏水性而不会得到腐蚀性副产物。
本发明的进一步目的是提供方法,由该方法可以引入本发明的硅烷化剂使得它们渗透多孔低k材料的本体和恢复性能。
本发明的进一步目的是改变在沉积和孔形成之后树脂的化学情况以增加机械强度和克服面对多孔有机硅酸盐的成功集成的一些主要障碍。
用以在本发明中改变笼-网络比例的方法也是通过甲硅烷基化,它引入将形成新网络的硅氧烷键引入膜中和因此改进机械性能而没有介电常数的显著增加。然而,为使甲硅烷基化反应进行,必须使有机硅酸盐膜具有大量硅烷醇。在甲硅烷基化之前提供这些硅烷醇和保证甲硅烷基化反应进行到足够的程度以强化此膜也是本发明的目的。
本发明的优点在于对于超低k金属间电介质的材料选择不需要由等离子体的效果和对这些材料的湿清洁损害的考虑限制,这是由于可通过采用本发明中教导的甲硅烷基化方法将损害之后的它们恢复到它们的初始性能。此外,恢复由等离子体曝光损害的膜的可靠方法的可获得性允许双大马士革互连构造中要求的反应性离子蚀刻(RIE)和抗蚀剂剥离操作中的更多工艺选项和可以接着导致更坚固和更低成本的加工。最终,本发明提供增加要用作IMD的多孔有机硅酸盐膜的机械坚固性的方法。
因此,本发明涉及恢复低k或非常低k介电常数有机硅酸盐膜的性能的方法,该膜含有连接到硅原子的氢原子或烷基或芳基,和用于半导体芯片、或芯片载体、或半导体晶片中的低或非常低介电常数绝缘层,其中该有机硅酸盐膜经历倾向于劣化其性能的加工。该方法包括向膜施加包括氨基硅烷的硅烷化剂,以使膜为疏水性的。氨基硅烷可以具有通式(R2N)XSiR’Y,其中X和Y分别是1-2和2-1的整数,和其中R和R’选自氢、烷基、芳基、烯丙基、苯基和乙烯基部分。优选,氨基硅烷是双(二甲基氨基)二甲基硅烷。
氨基硅烷可具有通式(R2N)XSiR’YR”Z,其中X,Y和Z分别是1-3,3-1和1-3的整数,和其中R,R’和R”是任何氢、烷基、或芳基、烯丙基、苯基或乙烯基部分。
本发明也涉及相同的通用方法,该方法包括对膜施加硅烷化剂,以使膜为疏水性的,该硅烷化剂具有形式RXHYSi-A,其中X和Y分别是0-2和3-1的整数和其中R是任何氢、烷基、或芳基、烯丙基、苯基或乙烯基部分和其中A是硅氮烷、氯、氨基或烷氧基部分。硅烷化剂可包括氨基、氯或烷氧基封端的单官能封端的硅烷化剂,其中硅烷化剂上的甲基部分至少部分由氢类似物替代。硅烷化剂也可包括具有氨基、烷氧基、氯或硅氮烷封端的端基的聚合物硅氧烷。聚合物硅氧烷的端基可以包括单或二烷基、芳基、乙烯基或氢部分。硅氧烷可包括氨基封端的聚二甲基硅氧烷。
硅烷化剂也可具有通式RXHYSiZA,其中分别地X和Y是0-5,和6-1的整数和Z等于1-2和其中R是氢、烷基、芳基、烯丙基、苯基或乙烯基部分,和A是硅氮烷、氯、氨基或烷氧基部分。
根据本发明,加工可包括膜的蚀刻,和从膜脱除光刻胶材料,其中在蚀刻和脱除之后施加硅烷化剂。通过将膜曝露于等离子体进行蚀刻和脱除。可以使用单大马士革互连或双大马士革互连加工,和硅烷化剂的施加可以在互连线和通路的至少一个定义之后,和在电导体沉积之前进行。硅烷化剂的施加在导电衬里沉积之前进行。
硅烷化剂可以由一种如下方式施加:旋涂液体、在液体中浸渍衬底、采用液体喷涂衬底、在气相中、或溶于超临界二氧化碳,优选采用选自烷烃、烯烃、酮、醚、和酯的至少一种的助溶剂。显著地,在水分不存在下施加硅烷化剂。可以将膜退火,优选在至少350℃,或高至450℃的温度下退火超过一分钟的时间。退火可以在施加硅烷化剂之前或之后进行。将硅烷化剂优选在至少25℃的温度下施加。进行退火以促进以下的至少一种:缩合膜中未甲硅烷基化的硅烷醇,和形成另外的硅氧烷键。
硅烷化剂可以溶于溶剂,该溶剂包括选自烷烃、烯烃、酮、醚、酯、或其任何组合的具有低表面张力的非极性有机溶剂。优选,溶剂具有足够低的表面张力以渗透膜中的孔。硅烷化剂在溶剂中的浓度可优选为2wt%和10wt%之间,但在溶剂中的浓度也可低至0.5%或更大。
硅烷化剂可以在室温或更高温度下施加一分钟和一小时之间的时间。当施加硅烷化剂时可以采用搅拌或超声振荡。可以清洗膜以脱除过量硅烷化剂。可以优选在至多450℃的温度下焙烧该膜。
可以将硅烷化剂在气相中,在室温和450℃之间的温度下施加三十秒到一小时的持续时间,或在基本250℃下施加五分钟的持续时间。可以将硅烷化剂在超临界二氧化碳中,在25℃和450℃之间的温度下,在1,000和10,000psi之间的压力下施加三十秒到一小时的持续时间。可以将它在超临界二氧化碳或蒸气介质中在超过75℃的温度下施加超过30秒的时间。
硅烷化剂优选是二官能的。它可包括(双)二甲基氨基二甲基硅烷或(双)二甲基氨基甲基硅烷。
施加硅烷化剂的步骤在采用如下一种方式处理膜之后:向膜中引入硅烷醇的紫外辐射、对臭氧曝露、或对适度氧化等离子体曝露或其组合。该方法可以在化学气相沉积腔、或原子层沉积腔中进行。
由根据本发明的方法恢复的性能包括如下的至少一种:疏水性、弹性模量、低介电常数、断裂韧性和硬度、介电击穿强度、低介电泄漏和介电可靠性。其中集成这样的恢复的膜的互连结构可另外包括一个或多个金属间电介质,该金属间电介质选自二氧化硅、氟化四乙基正硅酸酯、氟化二氧化硅玻璃、氟化或非氟化有机聚合物、热固性聚合物、和化学气相沉积的聚合物。热固性聚合物可以基于聚亚芳基醚。化学气相沉积的聚合物可以是是聚对二甲苯。另外的金属间电介质可以是选自聚酰亚胺、苯并环丁烯、聚苯并噁唑、和芳族物质的有机聚合物。
本发明也涉及制造的制品,该制品包括含有其中形成的多个电导体的绝缘材料;和包括有机硅酸盐膜的金属间电介质,该有机硅酸盐膜含有连接到硅原子的氢原子或烷基或芳基;有机硅酸盐膜的表面包括在以上说明的方法中提及的一种硅烷化剂和有机硅酸盐膜之间的反应产物。制品可以配置为半导体芯片、半导体芯片载体或半导体晶片。表面可以是膜的外表面或膜中孔的表面。
附图简述
当与附图结合阅读时,当进一步考虑本发明的如下详细描述,本发明的这些和其它方面,特征,和优点是显然的,其中:
图1a到图1g说明标准双大马士革互连集成方案的工艺流程;
图2是说明等离子体曝光和甲硅烷基化对非常低k材料的化学情况的影响的简图;
图3a是显示单官能硅烷化剂如何仅捕获一个分离的硅烷醇和阻断邻近硅烷醇的简图;
图3b是显示用于3a的试剂的二官能类似物如何成功地同时捕获两个邻近硅烷醇的简图;
图4a显示说明单,二和三官能硅烷化剂的效果的FTIR光谱;
图4b是图4a的放大视图。
图5提供初始、等离子体损害的、BDMADMS处理的和BDMADMS处理和退火的XMD的FTIR光谱和接触角数据的比较。
图6是作为初始、等离子体损害的、BDMADMS处理的和BDMADMS处理和退火的多孔有机硅酸盐IMD的波数的函数的红外吸光度的图。
发明描述
对于本发明描述的变化可以采用对于每种特定应用所需的任何组合实现。因此特定的限制,和/或在此所述的实施方案增进,它们可对特定的应用具有特定的优点,不需要用于所有的应用。同样,应当认识到不是所有的限制需要在包括本发明的一个或多个概念的方法,系统和/或设备中实施。
本发明的主实施方案(以下″实施方案1″)涉及新颖类别硅烷化剂的用途,该硅烷化剂对于介电质性能的恢复是非常有效的硅烷化剂。此外,本发明的实施方案1也涉及一种方法,由该方法将这些硅烷化剂引入工艺以保证使多孔低k材料的外表面,以及本体(包括所有内部孔壁)是疏水性的。最终,本发明的第二实施方案公开了部分如用于现有技术的硅氮烷上的具体分子变化以使它们更有效作为硅烷化剂。
在本发明的实施方案1中,将本发明的硅烷化剂引入单或双大马士革互连工艺以在互连线和通路定义之后,和在包括互连金属的导电衬里和填充材料沉积之前构造互连结构。具体地,低k材料的反应性离子蚀刻(RIE)之后剥离抗蚀剂之后,引入硅烷化剂。如果使用双大马士革互连方案如图1所示的方案,本发明的硅烷化剂在图1e和图1f的工艺步骤之间引入。本发明中详细描述的硅烷化剂可用于互连结构,该结构具有在线或通路水平或两个水平的致密或多孔有机硅酸盐。此外,当多孔有机硅酸盐与其它有机硅酸盐或与材料如SiO2、FSG、氟化四乙基正硅酸酯(FTEOS)、或氟化或非氟化有机聚合物结合使用时,它们可用于结构。尽管所列的其它材料可以是结构的一部分,它们通常在加工期间不易于发生在此所述种类的损害和因此本身经不起甲硅烷基化处理的检验。
图2中的简图展示用于本发明的硅烷化剂如何在典型工艺等离子体曝光期间在它们的脱除之后成功地恢复低k有机硅酸盐膜中的甲基部分。离开反应位点的硅烷化剂的基团(″离去基团″)是与硅烷醇反应和将硅烷醇去质子化而形成新硅氧烷键的基团。因此,离去基团的反应性确定甲硅烷基化反应的效率。
在本发明的实施方案1中,在随后保持互连金属的线和通路定义之后引入一类由通式(R2N)XSiR’Y表示的硅烷化剂,其中X和Y分别是1-2和2-1的整数。在以上通式中,R和R’可以是可以使膜为疏水性的任何氢、烷基、芳基、苯基、烯丙基或乙烯基部分。这些硅烷化剂通常称为氨基硅烷和它们在本文献的剩余部分中原样地提及。依赖于x分别是1或2的值,它们称为单官能或二官能的。将氨基硅烷由旋涂工艺、在液相中、在气相中(在加热炉中或在CVD腔中)、或超临界二氧化碳介质中引入,但在所有情况下,非常重要的是在水分总体不存在下处理硅烷化剂,这是由于可以存在的任何水分可降低甲硅烷基化反应的效率。此外,甲硅烷基化后接退火的的组合或退火后接甲硅烷基化或高温(优选大于350℃)甲硅烷基化的组合优选为甲硅烷基化自身,这是由于它导致膜中硅烷醇含量的最大下降。退火步骤也缩合膜中剩余的任何未甲硅烷基化硅烷醇和能够形成另外的硅氧烷键,该键使膜得到增强。
当氨基硅烷用于液体介质时,应当将它们优选溶于具有低表面张力的任何非极性有机溶剂中使得可以有效地渗透孔。这样溶剂的例子包括但不限于己烷、庚烷、二甲苯等。需要但不必须地使溶剂具有由它的闪点和沸点测量的低挥发性。对于有效甲硅烷基化所需的氨基硅烷的浓度可以低至溶液的0.5wt%或氨基硅烷可以本身以它的未稀释液体形式使用。最有效甲硅烷基化的所希望范围典型地在溶液中是2%-10%。可以将溶液旋涂到多孔低k膜上或用于湿化学罐,其中将具有在多孔低k膜中定义的具有互连特征的晶片浸渍1分钟到1小时或更多的时间。用于甲硅烷基化的温度可以是室温或更高。在浸渍期间的搅拌或超声振荡不是必需的以促进反应,但在一些应用中可有助于提高反应速率。在甲硅烷基化之后,将晶片在纯溶剂中清洗和然后在热板上或在加热炉中焙烧到至多450℃的温度。
液相甲硅烷基化也可以通过使用以上段落中定义的溶液并旋涂或喷涂此溶液而进行。
当气相甲硅烷基化采用氨基硅烷进行时,对于载气重要的是惰性的和非氧化的和腔室是无水分的。在腔室不是没有水分的情况下,二和三官能氨基硅烷倾向于齐聚和分别形成单层或膜。由于硅烷化剂与膜的反应性通常减缓,单层和膜的形成不是希望的;进一步处理也限于顶部表面和不使膜的本体中的孔变成疏水性的。气相甲硅烷基化可以在从室温到450℃的温度下进行30秒到一小时或更多的持续时间。用于气相甲硅烷基化的优选时间和温度是在250℃下的5分钟。在气相甲硅烷基化之后,可以采用至多450℃温度的任选的热板焙烧或加热炉固化。电介质膜的气相处理可以在自由支撑的加热炉中进行,通过腔室的流动或在用于半导体工业中用于化学气相沉积(CVD)或原子层沉积(ALD)的加工腔中。最后两个选项是特别有吸引力的,这是由于设计这些腔室以处理基础真空的产生以基本排除水分,气相物质的引入和衬底加热,和由于电介质可以刚刚在互连金属沉积步骤之前原位甲硅烷基化,该沉积步骤可以容易地使用CVD或ALD使用适当的气相前体进行。
当将氨基硅烷在超临界(SC)二氧化碳(CO2)介质中引入时,它们可以由自身或与任何合适的助溶剂结合而引入。用于SC CO2类甲硅烷基化的温度,压力和时间范围可以如下:温度:25℃-450℃,压力:1,000-10,000psi,时间:30秒-1小时或更多。
优选是二官能氨基硅烷如(双)二甲基氨基二甲基硅烷(BDMADMS)或(双)二甲基氨基甲基硅烷在SC CO2或蒸气介质中在超过75℃的温度下使用超过30s,随后400℃退火超过1分钟的时间。二官能硅烷化剂通常比它们的单官能配对物更有效,这是由于它们具有同时捕获两个邻近非氢键合硅烷醇,特别地偕位硅烷醇的能力,如图3b所示(图3b显示两个邻近的分离的硅烷醇)。单官能硅烷化剂由于三个甲基部分空间阻碍另一个单官能硅烷化剂容易地与邻近硅烷醇反应,通常不能捕获两个邻近硅烷醇,如图3a所示。三官能硅烷化剂具有交联和形成不渗透低k膜的孔的膜的倾向。另外,由于三官能硅烷化剂不能同时捕获三个硅烷醇的事实,存在在硅烷化剂的未反应末端上形成另外硅烷醇的可能性。
图4显示在单,二和三官能氯封端硅烷化剂之间的比较,其中甲硅烷基化在无水分环境中在液相中进行。从图4的FTIR光谱,可以看出二官能试剂显示膜的甲基含量增加和硅烷醇含量降低的最优组合。相似的效果可以采用氨基封端的硅烷化剂达到,其中增加的益处是反应的副产物不是腐蚀性的。
如图5所示,由BDMADMS的液相甲硅烷基化,随后在400℃下退火,恢复多孔低k膜的疏水性和甲基含量。
表1A和1B显示由本发明的优选试剂,BDMADMS达到的接触角,和用于现有技术的硅烷化剂HMDS达到的接触角的比较。如可以从表1a看出,BDMADMS在恢复接触角中更有效。表1b显示BDMADMS的效果在对环境4周曝露之后不减少,而HMDS甲硅烷基化低k材料的接触角降低,显示介电性能的逐渐劣化。表2显示在它对典型工艺等离子体的后曝光增加之后,BDMADMS恢复多孔低k膜的k。相似地,对于由BDMADMS处理的膜,介电损耗以及介电击穿强度恢复回到它们的初始数值。
表1A-立即在甲硅烷基化之后
处理 接触角(度)
初始膜 104
在等离子体曝光之后 0.5
在HMDS甲硅烷基化+400℃退火之后 85
在BDMADMS甲硅烷基化+400℃退火之后 107.8
表1B-在甲硅烷基化之后四周
处理 接触角(度)
初始膜 104
在等离子体曝光之后 0
在HMDS甲硅烷基化+400℃退火之后 81.3
在BDMADMS甲硅烷基化+400℃退火之后 107.7
表2
膜/处理 介电常数
初始膜 2.1
在等离子体曝光+400℃退火之后 2.42
在BDMADMS甲硅烷基化+400℃退火之后 1.95
可以从图6看出甲硅烷基化改变有机硅酸盐的结构形态和使主链更为网络状而不是笼状,结果是提高机械性能。这是由于如下事实:甲硅烷基化反应形成新网络,形成提高膜的机械强度的硅氧烷键。表示膜中网络结构程度的在约1067(l/cm)波数下的红外峰显示采用甲硅烷基化处理的高度显著增加,如图6中的FTIR光谱所示。参考表3。
表3
氯硅烷  氨基硅烷  烷氧基硅烷
离去基团 HCl  NHR2  ROH
离解能Me3Si-X(kCal/mol) 117  98  123
如上所述,在甲硅烷基化反应之后为加热炉退火以缩合任何剩余的硅烷醇和形成进一步提高机械强度的新硅氧烷键。
实施方案2
实施方案1显示通常二官能硅烷化剂和特别地BDMADMS的效率。实施方案1也显示单官能硅烷化剂如HMDS和TMCS由于由硅烷化剂上三个甲基部分呈现的空间位阻而不如它们的二官能配对物有效。然而,通过采用更小的氢部分适当替代硅烷化剂上的甲基部分,可以克服此问题。例如,代替HMDS,使用四甲基二硅氮烷(TMDS)导致降低的空间位阻和更有效的甲硅烷基化反应。相似地,甲硅烷基化中的更大成功可以采用氨基,氯和烷氧基封端的单官能硅烷化剂展示,其中甲基部分至少部分由它们的氢类似物替代。因此,通式RXHYSi-A的硅烷化剂可以用作有效的硅烷化剂,其中X和Y分别是0-2和3-1的整数。如在以上实施方案上所述,在甲硅烷基化反应之后为加热炉退火以缩合任何剩余的硅烷醇和形成进一步提高机械强度的新硅氧烷键。
实施方案3
对于其中不需要渗透多孔低k膜的孔的应用,在它们之上具有氨基,烷氧基,氯或硅氮烷封端的端基与单或二烷基,芳基,乙烯基或氢部分的聚合物硅氧烷可用于在低k膜的顶表面上形成单层和恢复表面疏水性。这样硅氧烷的一个例子是氨基封端的聚二甲基硅氧烷。重要的是保证分子量足够低使得硅烷化剂流入由蚀刻过程产生的间隙以在有机硅酸盐中形成沟槽和通路,以形成互连结构。如在以上实施方案上所述,在甲硅烷基化反应之后为加热炉退火以缩合任何剩余的硅烷醇和形成进一步提高机械强度的新硅氧烷键。
实施方案4
可以立即在膜沉积之后引入硅烷化剂。在此情况下的效率依赖于在沉积之后在膜中存在多少硅烷醇。在此实施方案中,硅烷化剂也可以在处理如UV/臭氧,或适度氧化等离子体曝光之后引入,该处理向膜中引入硅烷醇。如在先前的实施方案中,在甲硅烷基化之后为热退火。在任何以上三个实施方案中描述的硅烷化剂可以采用此方式利用。在CVD沉积的膜的情况下,可以将硅烷化剂与CVD电介质的前体一起共沉积或引入腔室。
注意到以上内容描述本发明的一些更相关目的和实施方案。本发明的构思可用于许多应用。因此,尽管描述是对于特定的布置和方法,本发明的意图和构思适于和可应用于其它布置和应用。对本领域技术人员清楚的是可以进行对公开的实施方案的其它改进而不背离本发明的精神和范围。描述的实施方案应当解释为仅说明本发明的一些更突出特征和应用。可以采用不同的方式应用公开的本发明或采用本领域技术人员已知的方式改进本发明获得其它的有益结果。因此,应当理解提供这些实施方案作为例子而不是限制。本发明的范围由所附的权利要求书限定。

Claims (62)

1.恢复低k或非常低介电常数有机硅酸盐膜的性能的方法,该膜含有连接到硅原子的氢原子或烷基或芳基,和用于半导体芯片、或芯片载体、或半导体晶片中的低或非常低介电常数绝缘层,其中该有机硅酸盐膜经历倾向于劣化性能的加工,该方法包括:
向膜施加包括氨基硅烷的硅烷化剂,以使膜为疏水性的。
2.权利要求1的方法,其中氨基硅烷具有通式(R2N)XSiR’Y,其中X和Y分别是1-2和3-2的整数,和其中R和R’选自氢、烷基、芳基、烯丙基、苯基和乙烯基部分。
3.权利要求1的方法,其中硅烷化剂包括:
(双)二甲基氨基二甲基硅烷或
(双)二甲基氨基甲基硅烷。
4.权利要求1的方法,其中氨基硅烷具有通式(R2N)xSiR’YR”Z,其中X,Y和Z是整数及分别地x为1-3,和Y和Z分别为3-0,但其中x+y+z总是等于4,和其中R,R’和R”是任何氢、烷基、芳基、烯丙基、苯基或乙烯基部分。
5.恢复在半导体芯片、芯片载体、或半导体晶片中的低或非常低介电常数绝缘层中有机硅酸盐膜的性能的方法,该膜含有连接到硅原子的氢原子或烷基或芳基,其中该有机硅酸盐膜经历倾向于劣化其性能的加工,该方法包括:向膜施加硅烷化剂,以使膜为疏水性的,该硅烷化剂具有形式RXHYSi-A,其中X和Y分别是0-2和3-1的整数和其中R是任何氢、烷基、芳基、烯丙基、苯基或乙烯基部分和其中A是氯、或烷氧基部分。
6.恢复在半导体芯片、芯片载体、或半导体晶片中的低或非常低介电常数绝缘层中有机硅酸盐膜的性能的方法,该膜含有连接到硅原子的氢原子或烷基或芳基,其中该有机硅酸盐膜经历倾向于劣化其性能的加工,该方法包括:
向膜施加硅烷化剂,以使膜为疏水性的,该硅烷化剂包括选自氨基、氯或烷氧基的单官能封端基团,其中该硅烷化剂上的甲基部分至少部分由氢类似物替代。
7.恢复在半导体芯片、芯片载体、或半导体晶片中的低或非常低介电常数绝缘层中有机硅酸盐膜的性能的方法,该膜含有连接到硅原子的氢原子或烷基或芳基,其中该有机硅酸盐膜经历倾向于劣化其性能的加工,该方法包括:
向膜施加硅烷化剂,以使膜为疏水性的,该硅烷化剂包括具有氨基、烷氧基、氯或硅氮烷封端的端基的聚合物硅氧烷。
8.权利要求7的方法,其中聚合物硅氧烷的该端基包括单或二烷基、芳基、乙烯基或氢部分。
9.权利要求7的方法,其中该硅氧烷包括氨基封端的聚二甲基硅氧烷。
10.恢复在半导体芯片、芯片载体、或半导体晶片中的低或非常低介电常数绝缘层中有机硅酸盐膜的性能的方法,该膜含有连接到硅原子的氢原子或烷基或芳基,其中该有机硅酸盐膜经历倾向于劣化其性能的加工,该方法包括:
向膜施加硅烷化剂,以使膜为疏水性的,硅烷化剂具有通式RXHYSiZA,其中分别地X,和Y,和Z是0-5,和6-1的整数和Z等于2和其中R是氢、烷基、芳基、烯丙基、苯基或乙烯基部分,和A是硅氮烷。
11.权利要求1的方法,其中加工包括膜的蚀刻,和从膜脱除光刻胶材料,其中在蚀刻和脱除之后施加硅烷化剂。
12.权利要求11的方法,其中通过将膜曝露于等离子体进行蚀刻和脱除。
13.权利要求1的方法,其中使用单大马士革互连或双大马士革互连加工,和该硅烷化剂的该施加在互连线和通路的至少一个确定之后,和在电导体沉积之前进行。
14.权利要求13的方法,其中该硅烷化剂的该施加在导电衬里沉积之前进行。
15.权利要求1的方法,其中该硅烷化剂由一种如下方式施加:旋涂液体、在液体中浸渍衬底、采用液体喷涂衬底、在气相中、或溶于超临界二氧化碳。
16.权利要求1的方法,其中与一种助溶剂一起将该硅烷化剂溶于超临界二氧化碳,该助溶剂选自烷烃、烯烃、酮、醚、和酯的至少一种。
17.权利要求1的方法,其中在水分不存在下施加该硅烷化剂
18.权利要求1的方法,进一步包括退火该膜。
19.权利要求18的方法,其中退火是在至少350℃的温度下。
20.权利要求18的方法,其中在施加该硅烷化剂之后进行该退火。
21.权利要求18的方法,其中在施加该硅烷化剂之前进行该退火。
22.权利要求21的方法,其中该硅烷化剂的该施加在至少25℃的温度下进行。
23.权利要求18的方法,其中进行该退火以促进以下的至少一种:缩合膜中的硅烷醇,和形成另外的硅氧烷键。
24.权利要求1的方法,其中将硅烷化剂溶于溶剂。
25.权利要求24的方法,其中溶剂是选自烷烃、烯烃、酮、醚、酯、或其任何组合的具有低表面张力的非极性有机溶剂。
26.权利要求24的方法,其中溶剂具有足够低的表面张力以渗透该膜中的孔。
27.权利要求24的方法,其中该硅烷化剂在该溶剂中的浓度为2wt%和10wt%之间。
28.权利要求24的方法,其中该硅烷化剂在该溶剂中的浓度为0.5%或更大。
29.权利要求1的方法,其中将该硅烷化剂施加三十秒和一小时之间的时间。
30.权利要求1的方法,其中将该硅烷化剂在室温或更大温度下施加。
31.权利要求1的方法,进一步包括当施加硅烷化剂时进行搅拌或超声振荡之一。
32.权利要求1的方法,进一步包括清洗膜以脱除过量硅烷化剂。
33.权利要求1的方法,进一步包括焙烧该膜。
34.权利要求33的方法,其中焙烧在至多450℃的温度下进行。
35.权利要求1的方法,其中将硅烷化剂在气相中,在室温和450℃之间的温度下施加三十秒到一小时的持续时间。
36.权利要求1的方法,其中将硅烷化剂在气相中,在基本250℃的温度下施加五分钟的持续时间。
37.权利要求1的方法,其中将硅烷化剂在超临界二氧化碳中,在25℃和450℃之间的温度下,在1,000和10,000psi之间的压力下施加三十秒到一小时的持续时间。
38.权利要求1的方法,其中硅烷化剂是二官能的。
39.权利要求1的方法,其中将硅烷化剂在超临界二氧化碳或蒸气介质中在超过75℃的温度下施加超过30秒的时间。
40.权利要求39的方法,进一步包括在基本400℃下退火该层超过一分钟的时间。
41.权利要求1的方法,其中施加硅烷化剂的该步骤在采用如下一种方式处理该膜之后:向膜中引入硅烷醇的紫外辐射、对臭氧曝露、对适度氧化等离子体曝露或其组合。
42.权利要求1的方法,在化学气相沉积腔、或原子层沉积腔中进行。
43.权利要求1的方法,其中恢复的性能包括如下的至少一种:疏水性、弹性模量、低介电常数、断裂韧性和硬度、介电击穿强度、低介电泄漏和介电可靠性。
44.权利要求1的方法,其中该膜包括一个或多个另外的金属间电介质。
45.权利要求44的方法,其中该另外的金属间电介质选自二氧化硅、氟化四乙基正硅酸酯、氟化二氧化硅玻璃、氟化或非氟化有机聚合物、热固性聚合物、和化学气相沉积的聚合物。
46.权利要求44的方法,其中该另外的金属间电介质是选自聚酰亚胺、苯并环丁烯、聚苯并噁唑、和芳族热固性塑料的有机聚合物。
47.权利要求45的方法,其中该热固性聚合物基于聚亚芳基醚。
48.权利要求45的方法,其中该化学气相沉积的聚合物是聚对二甲苯。
49.制造的制品,包括:
含有其中形成的多个电导体的绝缘材料;和
包括有机硅酸盐膜的金属间电介质,该有机硅酸盐膜含有连接到硅原子的氢原子或烷基或芳基;
有机硅酸盐膜的表面包括氨基硅烷硅烷化剂和该膜的有机硅酸盐的反应的产物。
50.权利要求49的制品,其中氨基硅烷具有通式(R2N)XSiR’Y,其中X和Y分别是1-2和3-2的整数,和其中R和R’选自氢、烷基、芳基、烯丙基、苯基和乙烯基部分。
51.权利要求49的制品,其中氨基硅烷是双(二甲基氨基)二甲基硅烷。
52.权利要求49的制品,其中氨基硅烷具有通式(R2N)xSiR’YR”Z,其中X,Y和Z是整数及分别地x为1-3,和Y和Z为3-0,但其中x+y+z总是等于4,和其中R,R’和R”是任何氢、烷基、芳基、烯丙基、苯基或乙烯基部分。
53.制造的制品,包括:
含有其中形成的多个电导体的绝缘材料;
包括有机硅酸盐膜的金属间电介质,该有机硅酸盐膜含有连接到硅原子的氢原子、烷基或芳基;
有机硅酸盐膜的表面包括硅烷化剂和该膜的有机硅酸盐的产物,以使该膜为疏水性的,该硅烷化剂具有形式RXHYSi-A,其中X和Y分别是0-2和3-1的整数和其中R是任何氢、烷基、芳基、烯丙基、苯基或乙烯基部分和其中A是氯、或烷氧基部分。
54.制造的制品,包括:
含有其中形成的多个电导体的绝缘材料;
包括有机硅酸盐膜的金属间电介质,该有机硅酸盐膜含有连接到硅原子的氢原子或烷基或芳基;
有机硅酸盐膜的表面包括硅烷化剂和该膜的有机硅酸盐的产物,以使该膜为疏水性的,该硅烷化剂包括选自氨基、氯或烷氧基的单官能基团,其中该硅烷化剂上的甲基部分至少部分由氢类似物替代。
55.制造的制品,包括:
含有其中形成的多个电导体的绝缘材料;
包括有机硅酸盐膜的金属间电介质,该有机硅酸盐膜含有连接到硅原子的氢原子、烷基或芳基;
有机硅酸盐膜的表面包括硅烷化剂和该膜的有机硅酸盐的产物,该硅烷化剂包括具有氨基、烷氧基、氯或硅氮烷封端的端基的聚合物硅氧烷。
56.权利要求55的制品,其中聚合物硅氧烷的该端基包括单或二烷基、芳基、乙烯基或氢部分。
57.权利要求55的制品,其中该硅氧烷是氨基封端的聚二甲基硅氧烷。
58.权利要求55的制品,其中该硅氧烷是氨基封端的聚二甲基硅氧烷。
59.制造的制品,包括:
含有其中形成的多个电导体的绝缘材料;
包括有机硅酸盐膜的金属间电介质,该有机硅酸盐膜含有连接到硅原子的氢原子、烷基或芳基;
有机硅酸盐膜的表面包括硅烷化剂和有机硅酸盐的产物,硅烷化剂具有通式RXHYSiZA,其中分别地X,和Y,是0-5,和6-1的整数和Z等于2和其中R是氢、烷基、芳基、烯丙基、苯基或乙烯基部分,和A是硅氮烷。
60.权利要求49的制品,配置为半导体芯片、半导体芯片载体或半导体晶片。
61.权利要求49的制品,其中该表面在该膜的外表面中。
62.权利要求49的制品,其中该表面包括该膜中孔的表面。
CN2004800442976A 2004-10-27 2004-10-27 用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复 Expired - Fee Related CN101048857B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2004/035685 WO2006049595A1 (en) 2004-10-27 2004-10-27 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Publications (2)

Publication Number Publication Date
CN101048857A true CN101048857A (zh) 2007-10-03
CN101048857B CN101048857B (zh) 2010-10-13

Family

ID=34959194

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800442976A Expired - Fee Related CN101048857B (zh) 2004-10-27 2004-10-27 用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复

Country Status (5)

Country Link
EP (1) EP1812961A1 (zh)
JP (1) JP4594988B2 (zh)
KR (3) KR100974042B1 (zh)
CN (1) CN101048857B (zh)
WO (1) WO2006049595A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102652360A (zh) * 2009-12-11 2012-08-29 剑桥显示技术有限公司 电子器件
CN103426733A (zh) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 超低k介质层处理方法
CN104460224A (zh) * 2013-09-25 2015-03-25 信越化学工业株式会社 光掩膜坯料的制造方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法
CN110609437A (zh) * 2013-09-25 2019-12-24 信越化学工业株式会社 光掩模坯料及其制造方法
CN110660853A (zh) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 用于形成栅极间隔件的方法以及半导体器件

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7446058B2 (en) 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
JPWO2008029800A1 (ja) * 2006-09-07 2010-01-21 東京エレクトロン株式会社 基板処理方法および記憶媒体
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP4814054B2 (ja) * 2006-11-02 2011-11-09 三井化学株式会社 積層構造体、その製造方法、及び積層体構造を用いてなる半導体装置
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4977508B2 (ja) * 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
JP5132244B2 (ja) * 2007-10-18 2013-01-30 大陽日酸株式会社 絶縁膜のダメージ回復方法および回復剤
US7838425B2 (en) * 2008-06-16 2010-11-23 Kabushiki Kaisha Toshiba Method of treating surface of semiconductor substrate
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP5404361B2 (ja) 2009-12-11 2014-01-29 株式会社東芝 半導体基板の表面処理装置及び方法
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
JP5662081B2 (ja) * 2010-08-20 2015-01-28 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
DE102010040071B4 (de) * 2010-08-31 2013-02-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
JP2012222329A (ja) * 2011-04-14 2012-11-12 Tokyo Electron Ltd 液処理方法及び液処理装置
US8575041B2 (en) 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
US9029171B2 (en) * 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
KR20160116618A (ko) 2015-03-30 2016-10-10 삼성전자주식회사 반도체 소자 및 그 제조 방법.

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
EP0997497B1 (en) * 1997-07-15 2004-10-27 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
AU7367400A (en) * 1999-09-09 2001-04-10 Allied-Signal Inc. Improved apparatus and methods for integrated circuit planarization
JP5307963B2 (ja) * 2000-06-23 2013-10-02 ハネウェル・インターナショナル・インコーポレーテッド 誘電フィルム及び材料における疎水性を回復する方法
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
EP1481284A4 (en) * 2002-03-04 2006-10-25 Tokyo Electron Ltd METHOD FOR PASSIVATING LOW DIELECTRIC MATERIALS IN WELDING PROCESSING
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102652360A (zh) * 2009-12-11 2012-08-29 剑桥显示技术有限公司 电子器件
CN103426733A (zh) * 2012-05-17 2013-12-04 中芯国际集成电路制造(上海)有限公司 超低k介质层处理方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法
CN104460224A (zh) * 2013-09-25 2015-03-25 信越化学工业株式会社 光掩膜坯料的制造方法
KR101811096B1 (ko) 2013-09-25 2017-12-20 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크의 제조 방법
CN104460224B (zh) * 2013-09-25 2018-01-05 信越化学工业株式会社 光掩膜坯料的制造方法
CN110609437A (zh) * 2013-09-25 2019-12-24 信越化学工业株式会社 光掩模坯料及其制造方法
CN110660853A (zh) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 用于形成栅极间隔件的方法以及半导体器件
CN110660853B (zh) * 2018-06-29 2022-12-06 台湾积体电路制造股份有限公司 用于形成栅极间隔件的方法以及半导体器件

Also Published As

Publication number Publication date
KR100974042B1 (ko) 2010-08-05
KR101063591B1 (ko) 2011-09-07
CN101048857B (zh) 2010-10-13
JP4594988B2 (ja) 2010-12-08
KR20090111883A (ko) 2009-10-27
KR100985613B1 (ko) 2010-10-05
KR20100088166A (ko) 2010-08-06
EP1812961A1 (en) 2007-08-01
WO2006049595A1 (en) 2006-05-11
JP2008518460A (ja) 2008-05-29
KR20090113389A (ko) 2009-10-30

Similar Documents

Publication Publication Date Title
CN101048857B (zh) 用作金属间电介质的低k和超低k有机硅酸盐膜的疏水性的恢复
US7687913B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8470706B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
EP1493182B1 (en) Tri-layer masking architecture for patterning dual damascene interconnects
US7678712B2 (en) Vapor phase treatment of dielectric materials
KR100907387B1 (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
EP1891146B1 (en) Organo functionalized silane monomers and siloxane polymers of the same
CN1741254A (zh) 修复低k介电膜中的碳损耗
US20090278254A1 (en) Dielectric materials and methods for integrated circuit applications
KR101327640B1 (ko) 유전체막 형성 방법 및 상기 방법을 실행하는 신규한전구체
KR20070054705A (ko) 폴리유기실록산 유전 물질
JP2007508691A (ja) シリル化剤を用いる低誘電率誘電材料の損傷の修復
KR100424197B1 (ko) 실리카계 피막형성용 도포액
US7514709B2 (en) Organo-silsesquioxane polymers for forming low-k dielectrics
CN1532896A (zh) 半导体器件的制造方法
EP1566417B1 (en) Composition for porous film formation, porous film, process for producing the same, interlayer insulation film and semiconductor device
JP4540961B2 (ja) エッチングストッパー層形成用組成物
CN1395297A (zh) 改善多孔性低介电薄膜吸水性的方法
KR100935620B1 (ko) 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품
CN1245743C (zh) 涂层的处理方法及利用该方法制造半导体器件的方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
TW200521158A (en) Organo-silsesuioxane polymers for forming low-k dielectrics
JP2005175394A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171122

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171122

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101013

Termination date: 20191027

CF01 Termination of patent right due to non-payment of annual fee