KR101064336B1 - 실릴화제를 이용한 저-k 유전물질로의 손상 보수 - Google Patents

실릴화제를 이용한 저-k 유전물질로의 손상 보수 Download PDF

Info

Publication number
KR101064336B1
KR101064336B1 KR1020067003765A KR20067003765A KR101064336B1 KR 101064336 B1 KR101064336 B1 KR 101064336B1 KR 1020067003765 A KR1020067003765 A KR 1020067003765A KR 20067003765 A KR20067003765 A KR 20067003765A KR 101064336 B1 KR101064336 B1 KR 101064336B1
Authority
KR
South Korea
Prior art keywords
dielectric film
glass dielectric
organosilicate glass
treatment
toughening agent
Prior art date
Application number
KR1020067003765A
Other languages
English (en)
Other versions
KR20070037562A (ko
Inventor
아닐 에스. 바냅
테레사 에이. 라모스
낸씨 이와모토
로저 와이. 레웅
아난스 나만
Original Assignee
허니웰 인터내셔널 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,682 external-priority patent/US7709371B2/en
Application filed by 허니웰 인터내셔널 인코포레이티드 filed Critical 허니웰 인터내셔널 인코포레이티드
Publication of KR20070037562A publication Critical patent/KR20070037562A/ko
Application granted granted Critical
Publication of KR101064336B1 publication Critical patent/KR101064336B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 에칭제 또는 애싱 처리에 적용된 유기실리케이트 유리 유전필름의 표면에 소수성을 복원하는 방법을 제공하는 것이다. 이러한 필름은 낮고 안정한 유전성을 확보된 것이며, 집적회로의 제조시 절연물질로 사용된다. 본 발명의 방법은 이러한 필름내의 응력으로 유도되는 공극의 형성을 방지하는 것이다. 유기실리케이트 유리 유전필름은 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키고 이전에 존재하는 탄소 함유 부분을 제거하는 방식으로 이를 에칭제 또는 애싱제에 적용하여 비아 및 트렌치를 형성하도록 패턴된다. 그 다음, 상기 비아 및 트렌치를 금속으로 채우고 어닐링 처리에 적용한다. 상기 필름을 에칭제 또는 애싱제에 적용한 후에, 그러나 이를 어닐링 처리에 적용하기 전에, 상기 필름을 강인화제와 접촉시켜 상기 유기실리케이트 유리 유전필름의 탄소 함유 부분의 일부를 복원하고 소수성을 증가시키는 강인화제 조성물과 접촉시킨다.
저유전 물질, 강인화제, 실릴화제, 소수성

Description

실릴화제를 이용한 저-K 유전물질로의 손상 보수{Repairing Damage To Low-K-Dielectric Materials Using Silylating Agents}
본 발명은, 본 발명의 참고문헌으로 편입된, 2003년 10월 8일자로 출원된 미국 가출원 번호 제60/510,024호를 우선권 주장 출원하는 것이다.
본 발명은 감소된 소수성을 갖는 필름을 얻기 위해 이전에 존재하는 탄소함유 부분의 최소 일부분을 제거하는 방식으로, 에칭 또는 애싱 처리(ashing treatment)에 적용된 유기실리케이트 유리 유전필름의 표면에 소수성을 복원하는 방법에 관한 것이다. 이러한 필름은 집적회로("ICs")와 같은 반도체 장치의 제조에 절연물질로 사용되어, 이러한 필름이 저 유전상수 및 안정한 유전성을 갖도록 한다.
집적 회로에서 최소 배선폭이 감소됨에 따라, 내부 RC 지연, 전력 소비 및 시그널 크로스-토크로 인한 문제가 증가하며, 이는 극복하기 어려운 것이다. 층간 유전체(ILD) 및 금속간 유전체(IMD) 적용을 위한 저유전 상수 재료의 집적으로 이 러한 문제가 해결될 것으로 여겨진다. 집적회로에 저유전 상수 물질을 적용하고 있으나, 이 기술분야에서는 처리 방법을 추가적으로 개선하고 이러한 물질의 유전성 및 기계적 성질 모두의 최적화가 지속적으로 요구되고 있다. 미래의 집적회로에서 소자 스케일링은 내부연결 구조의 일부로서 저유전 상수 물질의 사용을 요구하고 있다. 서브(sub)-100nm 세대 ICs에 사용하기 위한 저유전 상수 물질은 CVD 또는 스핀-온 방법에 의해 제조되는 탄소 함유 SiO2 필름이 대부분이다. 플라즈마 에칭 및 플라즈마 또는 습윤 스트립 방법을 사용한 포토레지스트 제거와 같은 후속적인 처리 단계 도중에, 이러한 저-k 물질에 상당한 손상이 일어나며, 이는 에칭된 표면 근처의 저-k 물질로부터 불소 첨가 및 탄소 소모를 일으킨다. 보다 높은 유효 k 뿐만 아니라, 결과 구조는 공극형성, 가스배출(outgassing) 및 블리스터가 형성되기 쉽다. 상기 공극은 번갈아 가면서 상승된 전압에서 유출 전류를 증가시키고 브레이크 다운 전압을 감소시킨다. 본 발명에서는 손상 발생 후에 실릴화제로 웨이퍼를 처리하여 손상 및 결과 유출물을 감소시키는 방법을 개시하고 있다.
H2/He과 같은 비-손상 애싱 화학(ash chemistry)의 사용은 탄소 고갈 및 관련된 문제점을 감소시키기 위해 보고되었다. 이와 관련하여, I.Berry, A.Shiota, Q.Han, C.Waldfried, M.Sekiguchi, 및 O.Escorcia, Proceedings-Electriochemical Society, 22, 202 (2002); 및 A.Matsushita, N.Ohashi, K.Inukai, H.J.Shin, S. Sone, K.Sudou, K.Misawa, I.Matsumoto, 및 N.Kobayashi, Proceedings of IEEE International Interconnect Technology Conference, 2003, 147 (2003)을 참고바란다.
선택적으로, 탄소를 보충하는 후-애싱 처리는 소수성을 복원하고 유전 상수를 보다 저하시킴을 나타내었다. 탄소를 보충하는 후-애싱 처리는 소수성을 복원하고 유전 상수를 보다 감소시킴을 나타내었다. 이와 관련하여, Y.S.Mor, T.C.Chang, P.T.Liu, T.M.Tsai, C.W.Chen, S.T.Yan, C.J.Chu, W.F.Wu, F.M.Pan, W.Lur; 및 S.M.Sze, Journal of Vaccum Science & Technology, B, 2(4), 1334(2002); 및 P.G.Clark, B.D. Schwab, 및 J.W.Butterbaugh, Semiconductor International, 26(9), 46(2003)을 참고 바란다. 후자의 시도의 잇점은 안정한 에칭 및 애싱공정을 사용한다는 것이다. 이러한 목적을 위하여, 후-애싱 처리를 이용하여 다공성 SiCOH-계 저-k 물질에 발생하는 손상을 보수하는 것이 바람직할 것이다. 상기 처리는 저-k필름에 탄소를 보충하여 소수성을 복원할 수 있으며, 습윤 세척 조작 도중의 추가 손상에 대한 내성이 결과될 수 있다. 또한, 보수된 저-k 물질이 공극 형성을 저지하는 경우, 이는 일반적으로 구리 어닐링 공정 도중에 비처리된 다공성 저-k 내부 수준 유전 영역에서 일어나는 것이 바람직할 것이다. 실링화제("강인화제"(toughening agent))는 SiO2계 물질의 표면을 메틸화할 수 있다. 고려되는 노출은 증기 노출(플라즈마 이용, 또는 플라즈마 없이), 스핀코팅 및 초임계 CO2를 포함한다. 일반적으로, SiCOH계 다공성 저-k 물질은 Cu-다마스크 처리 도중에 ILD에 공극을 형성하기 쉽다. 강인화제 처리 후에, 결과 구조는 공극 형성에 대하여 상당히 강해진다. 이러한 이론 또는 메카니즘에 제한하는 것은 아니나, 플라즈마 손상은 Si-OH 결합으로 Si-CH3 결합을 교체하여 유전체 내의 탄소를 고갈시키는 것으로 여겨진다. 손상된 다공성 유전체에서, 기공 표면은 Si-OH 결합으로 새로이 덮혀진다. 인장응력 하에서(Cu 어닐링 후에), 인접 Si-OH기는 응축할 수 있으며, 이에 따라 국소 고밀화가 일어난다. 관련 반응 생성물 및 형성된 새로운 결합으로 인한 분자의 스트레칭으로 ILD 공간의 중심 근처에 공극이 형성된다. 강인화제는 대부분의 Si-OH 결합을 Si-O-Si-Rn 결합으로 교체하고, 이는 축합 반응을 회피시킨다. 따라서 공극 형성은 일어나지 않는다.
강인화제 처리는 유전 트렌치 및 비아(via) 형성 후에 수행되며, 에칭 및 애싱 단계는 탄소 고갈 및 저-k 물질로의 손상을 보충한다. 이러한 방법에 의해, 공극이 방지되고 이후에 트렌치 및 비아를 채우는 금속에 어닐링 처리에 의한 내부 응력을 견딜 수 있다.
강인화제 처리는 손상된 저-k 영역과의 반응을 완료하기에 충분한 시간동안 액체 또는 가스 형태의 실릴화제에 웨이퍼 표면을 노출시켜 수행된다. 임의로, 잔류 용매 및 과량의 강인화제를 제거하기 위하여 고온 베이크가 수행될 수 있다. 또한, 임의로, 강인화제 적용후에 또는 베이크 단계후에 바로, 상업적으로 이용가능 한 저-k 유전체와 혼화되는 화학제를 사용하여 습윤세척 조작이 수행될 수 있다. 추가로, 강인화제 처리 전에 강인화제 처리의 효과를 증가시키기 위하여, 탈수 베이크가 수행될 수 있다.
강인화제 처리의 효과는 에칭 및 애싱공정에 적용되는 패턴되지 않은 저-k 유전 필름을 사용한 다음 강인화제로 처리함으로써 입증될 수 있다. 성공적인 강인화제 처리로 탄소 농도가 증가되며, 이는 FTIR, EDX 또는 XPS 기술로 측정될 수 있다. 추가로, 물 접촉각이 증가하며, 이는 후-처리 표면의 소수성을 입증하는 것이다. 상기 강인화제로 처리된 필름은 또한 강인화제로 처리되지 않은 에칭/애싱된 필름과 비교하여 C-V 측정으로부터 유도되는 저유전상수를 나타낸다. 패턴된 웨이퍼에서, 강인화제 처리의 효과는 구리 어닐링 처리 후에 구리의 전기 도금한 후에 Cu 트렌치 사이의 협소한 공간에서 저-k 유전체내의 공극을 감소 또는 제거시키거나 또는 반응성 용매에 노출시킨 후 트렌치 또는 비아내의 프로파일 변화를 보다 저하시키는 것에 의해 설명된다.
본 발명은 유기실리케이트 유리 유전필름을 패턴하여 그 내부에 비아 및 트렌치를 형성한 다음, 이전에 존재하는 탄소 함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전 필름의 소수성을 감소시키는 최소 하나의 처리에 적용하며, 상기 비아 및 트렌치에 금속을 채운 다음, 상기 금속을 어닐링 처리에 적용함에 있어서, 유기실리케이트 유리 유전필름을 최소 하나의 에칭제 또는 애싱제에 적용시킨 후에, 그러나, 상기 비아 및 트렌치가 금속으로 채워지기 전에, 상기 유기실리케이트 유리 유전필름에 탄소함유 부분의 최소 일부분을 복원시키기고 상기 유기실리케이트 유리 유전필름의 소수성을 증가시키기에 효과적인 농도 및 시간동안 강인화제 조성물과 접촉시키는 단계를 포함하는 기판상의 유기실리케이트 유전필름에 응력-유도 공극형성의 방지방법을 제공하는 것이다.
또한, 본 발명은:
a) 유기 실리케이트 유리 유전필름을 기판에 적용하는 단계;
b) 상기 유기실리케이트 유리 유전필름에 비아 및 트렌치의 패턴을 형성하고, 이전에 존재하는 탄소함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키는 최소 하나의 처리에 상기 유기실리케이트 유리 유전필름을 적용하는 단계;
c) 상기 유기실리케이트 유리 유전필름을 그 소수성을 증가시키기에 효과적인 농도 및 시간동안 강인화제 조성물과 접촉시키는 단계;
d) 상기 비아 및 트렌치를 금속으로 채우는 단계; 및
e) 상기 금속을 어닐링 처리에 적용하는 단계를 포함하는 마이크로 전자장치의 제조방법이 제공된다.
삭제
본 발명은:
a) 유기 실리케이트 유리 유전필름을 기판에 적용하는 단계;
b) 상기 유기실리케이트 유리 유전필름에 비아 및 트렌치의 패턴을 형성하고, 이전에 존재하는 탄소함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키는 최소 하나의 처리에 상기 유기실리케이트 유리 유전필름을 적용하는 단계;
c) 상기 유기실리케이트 유리 유전필름을 그 소수성을 증가시키기에 효과적인 농도 및 시간동안 강인화제 조성물과 접촉시키는 단계;
d) 상기 비아 및 트렌치를 금속으로 채우는 단계; 및
e) 상기 금속을 어닐링 처리에 적용하는 단계를 포함하는 공정에 의해 제조되는 마이크로 전자장치를 제공한다.
삭제
이하 본 발명을 보다 상세히 설명하고자 한다.
본 발명에서, 저유전 상수, 일반적으로 3이하의 유전상수를 갖는 유전 물질은 이들이 시그널 전파를 보다 빠르게 하며, 전기 용량 영향 및 도체선 사이의 크로스 토크를 감소시키며 집적회로를 조정하는 전압을 보다 낮추기 때문에 특히 바람직한 것이다. 저 유전상수를 갖는 일 물질은 발포된 유전물질로서 적용될 수 있는 실리카이다. 가능한 가장 낮은 유전값을 위하여, 공기가 실리카 유전 물질에 도입된다. 공기는 유전상수가 1이며, 공기가 실리카 유전 물질에 나노다공성 또는 나노미터 크기의 공극 구조의 형태로 도입되는 경우에 상대적으로 저유전 상수("k")가 얻어진다. "SiO2" 작용기가 특별히 언급되지 않는한, 상기 용어 "실리카"가 사용되는 경우, 예를 들어, 다공성 및 비다공성 유전필름과 관련하여 본 발명에서 사용되는 용어 "실리카"는 유기 또는 무기 유리 베이스물질, 예를 들어, 하나 이상의 실리콘 기초 유전 전구체를 함유하는 어떠한 다른 적합한 출발 물질로부터 본 발명의 방법에 의해 제조되는 유전필름을 칭한다. 본 발명에서 특정한 용어의 사용이 특별히 제한되는 것은 아니나, 다수를 적합하게 포함하는 것으로 여겨지며, 예를 들어, 본 발명의 예시적인 공정은 "필름"에 적용하고 이를 제조하는 것이나, 다중 필름이 필요에 따라 개시되고, 예시되며 및 청구항의 방법에 의해 제조될 수 있다. 실리카 유전 물질과 관련하여 본 발명에서 사용되는 용어 "필름"은 이러한 실리카 유전 물질이 임의로 사용되는 어떠한 적합한 형태 또는 모양을 포함하는 것으로 여겨진다. 나노 다공성 실리카는 현재 사용되는 스핀-온-글라스("SOG") 및 화학적 증착("CVD") 실리카 SiO2에 사용되는 바와 같이, 유기 치환된 실란, 예를 들어, 테트라메톡시실란("TMOS") 및/또는 테트라에톡시실란("TEOS")을 포함하는 유사한 전구체를 사용하기 때문에 매우 흥미로운 것이다. 본 발명에서 사용되는 용어 "공극(void)" 및 "기공(pore)"은 질량(mass)이 가스로 교체되거나, 진공이 생성되는 비어있는 체적을 의미한다. 가스의 조성은 일반적으로 제한되지 않으며, 적합한 가스로는 공기를 포함하는 상대적으로 순수한 가스 및 이들의 혼합물을 포함한다. 상기 나노다공성 중합체는 다수의 기공을 포함할 수 있다. 기공은 일반적으로 구형이나, 관형, 얇은 판형, 원형, 또는 다른 형태를 포함하는 어떠한 적합한 형태를 선택적으로 또는 추가적으로 가질 수 있다. 상기 기공은 다공성 중합체 내에 균일하게 또는 불균일하게 분산될 수 있다. 또한, 상기 기공은 어떠한 적합한 직경을 가질 수 있는 것으로 여겨진다. 또한, 최소 일부의 기공이 인접한 기공과 연결되어 상당한 양의 연결된 또는 "오픈" 다공성을 갖는 구조가 형성되는 것으로 여겨진다.
나노다공성 실리카 필름은 이미 여러 가지 방법으로 제작되어 왔다. 적합한 실리콘-기초 전구체 조성물 및 나노 다공성 실리카 유전필름의 제조방법이 예를 들어, 본 발명에 참고문헌으로 편입된, 다음 미국특허 제 6,048,804호; 6,022,812호; 6,410,149호; 6,372,666호; 6,509,259호; 6,218,497호; 6,143,855호; 6,037,275호; 6,042,994호; 6,048,804호; 6,090,448호; 6,126,733호; 6,140,254호; 6,204,202호; 6,208,041호; 6,318,124호 및 6,319,855호에 개시되어 있다.
다른 유전 및 저유전 물질은 현재 계류중인, 2002년 2월 19일자로 출원된 미국특허출원 제 10/078919호에 개시된 예를 들어, 실리콘계와 같은 무기계 화합물을 포함한다;(예를 들어, Honeywell International Inc로부터 상업적으로 이용가능한 NANOGLASS® 및 HOSP® 제품). 상기 유전 및 저유전 물질은 상기 물질을 표면상에 스핀코팅, 딥코팅, 스프레이 코팅, 화학적 증착(CVD), 상기 물질을 표면상에 롤링, 상기 물질을 표면상에 적가(dripping), 및/또는 상기 물질을 표면상에 스프레딩하여 적용할 수 있다. 본 발명에서 유용한 유전체로는 탄소 도프된 산화물 예를 들어, Applied Materials, Inc.,으로부터 상업적으로 이용가능한 Black Diamond, Novellus로부터 상업적으로 이용가능한 Coral, ASM으로부터 상업적으로 이용가능한 Aurora, Trikon으로부터 상업적으로 이용가능한 Orion과 같은 CVD 증착 물질을 포함한다.
본 발명에서 사용되는, 어구 "스핀-온 물질(spin-on material)", "스핀-온 유기 물질", "스핀-온 조성물" 및 "스핀온 유기 조성물"은 서로 상호 교환적으로 사용될 수 있으며, 스핀코팅 적용 공정을 사용하여 기판 또는 표면에 스핀온할 수 있는 용액 및 조성물을 의미하는 것이다.
실리콘계 화합물의 예로는, 메틸실록산, 메틸실세스퀴녹산, 페닐 실록산, 페닐실세스퀴녹산, 메틸페닐실록산, 메틸페닐실세스퀴녹산, 실라잔 중합체, 실리케이트 중합체 및 이들의 혼합물과 같은 실록산 화합물을 포함한다. 고려되는 실라잔 중합체는 발색단이 부착될 수 있는 "투명한" 중합체 백본을 갖는, 퍼하이드로실라잔이다. 또한, 스핀-온-글라스 물질은 또한 실록산 중합체 및 블록 중합체, 화학식 (H0-1.0SiO1.5-2.0)의 하이드로겐실록산 중합체 및 다음 화학식 (HSiO1 .5)x(이때, x는 약 4이상이다)을 갖는 하이드로겐실세스퀴녹산 중합체를 포함한다. 또한, 하이드로겐실세스퀴녹산의 공중합체 및 알콕시하이드리도실록산 또는 하이드록시하이드리도실록산을 포함한다. 스핀온 유리 물질은 다음 화학식 (H0 -1.0SiO1 .5-2.0)n(R0 -1.0SiO1 .5-2.0)m의 유기하이드리도실록산 중합체 및 다음 화학식 (HSiO1 .5)n(SiO1 .5)m 유기하이드리도실세스퀴녹산 중합체(이 때, 상기 m은 0이상이며, n과 m의 합은 약 4이상이며, R은 알킬 또는 아릴이다.)을 추가로 포함한다. 일부 유용한 유기하이드리도실록산 중합체는 n과 m의 합이 약 4 내지 약 5000이며, R은 C1-C20 알킬기 또는 C6-C12 아릴기이다. 상기 유기하이드리도실록산 및 유기하이드리도실세스퀴녹산 중합체는 선택적으로 스핀-온 중합체를 의미한다. 일부 특정한 예로는 메틸하이드리도실록산, 에틸하이드리도실록산, 프로필하이드리도실록산, t-부틸하이드리도실록산, 페닐하이드리도실록산과 같은 알킬하이드리도실록산; 메틸하이드리도실세스퀴녹산, 에틸하이드리도실세스퀴녹산, 프로필하이드리도실세스퀴녹산, t-부틸하이드리도실세스퀴녹산, 페닐하이드리도실세스퀴녹산과 같은 알킬하이드리도실세스퀴녹산 및 이들의 혼합물을 포함한다. 고려되는 몇몇 스핀-온 물질은 본 발명에 참고문헌으로 편입되어 있는, 다음 특허 및 계류중인 출원에 개시되어 있다: 미국특허 6,506,497; 6,365,765; 6,268,457; 6,177,199; 6,358,559; 6,218,020; 6,361,820; 6,218,497; 6,359,099; 6,143,855; 6,512,071; 미국특허출원 제 10/001142호(2001년 11월 10일 출원); PCT/US00/15772호(2000년 6월 8일 출원) 및 PCT/US00/00523호 (1999년 1월 7일 출원).
유기하이드리도실록산 및 유기실록산 수지의 용액은 다양한 전자 장치, 마이크로 전자장치, 특히 반도체 집적회로 및 하드마스크 층, 유전층, 에치스탑층 및 베리드(buried) 에치 스탑층을 포함하는 다양한 전자 및 반도체 소자의 적층물질의 제조에 유용한 캐이지된(caged) 실록산 중합체 필름의 제조에 사용될 수 있다. 이러한 유기하이드리도실록산 수지층은 아다만탄계 화합물, 디아만탄계 화합물, 실리콘-코어 화합물, 유기 유전체 및 나노다공성 유전체와 같은 적층물질 및 장치에 사용될 수 있는 다른 물질과 혼화될 수 있다. 본 발명에서 유기하이드리도실록산 수지층과 혼화성인 것으로 여겨지는 화합물은 본 발명에 참고문헌으로 편입된, 미국특허 제 6,214,746; 6,171,687; 6,172,128; 6,156,812; 미국특허출원 제 60/350187호 (2002년 1월 15일 출원); 미국특허출원 제 09/538276호; 미국특허출원 제 09/544504호; 미국특허출원 제 09/587851호; 및 미국특허출원 제 60/347195호(2002년 1월 8일 출원); PCT 출원 제 PCT/US01/32569호 (2001년 10월 17일 출원); PCT 출원 제PCT/US01/50812호 (2001년 12월 31일 출원)에 개시되어 있다.
본 발명에서 사용되는 적합한 유기하이드리도실록산 수지는 다음 화학식을 갖는다:
[H-Si1 .5]n[R-SiO1 .5]m 화학식 (1)
[H0 .5-Si1 .5-1.8]n[R0 .5-1.0-SiO1 .5-1.8]m 화학식 (2)
[H0 -1.0-Si1 .5]n[R-SiO1 .5]m 화학식 (3)
[H-Si1 .5]x[R-SiO1 .5]y[SiO2]z 화학식 (4)
상기 식에서, n과 m의 합 또는 x, y, 및 z의 합은 약 8 내지 약 5000이며, m 또는 y는 탄소함유 구성물이 약 40%미만의 양으로(저유기함량=LOSP) 또는 약 40%이상의 양(고유기함량=HOSP)으로 존재하며; R은 치환 및 비치환된, 노르말 및 분지된 알킬(메틸, 에틸, 부틸, 프로필, 펜틸), 알케닐기(비닐, 알릴, 이소프로페닐), 시클로알킬, 시클로알케닐기, 아릴(페닐기, 벤질기, 나프탈레닐기, 안트라세닐기 및 펜안트레닐기), 및 이들의 혼합물로 구성되는 그룹으로부터 선택되며; 이때, 상기 탄소 함유 구성물의 특정 몰%는 출발물질의 양 비율과 상관관계가 있다. LOSP 구현에 있어서, 상기 탄소 함유 치환체의 몰%가 약 15몰% 내지 25몰%인 경우에 특정 바람직한 결과가 얻어진다. 일부 HOSP 구현에 있어서, 상기 탄소 함유 치환체의 몰%가 약 55몰% 내지 약 75몰%인 경우에 바람직한 결과가 얻어진다.
유전상수가 약 1.5 내지 약 4인 나노다공성 실리카 유전 필름이 또한 하나의 층으로 사용될 수 있다. 나노다공성 실리카 필름은 실리콘계 전구체로서 레이드 다운시키거나(laid down), 숙성시키거나, 물의 존재하에서 축합하고 충분히 가열하여 실질적으로 모든 포로겐을 제거하고 필름내에 공극을 형성한다. 상기 실리콘계 전구체 조성물은 화학식이:Rx-Si-Ly인 단량체 또는 예비 중합체를 포함하며, 이 때, R은 알킬기, 아릴기, 수소 및 이들의 조합으로부터 독립적으로 선택되며, L은 알콕시, 카르복시, 아미노, 아미도, 할라이드, 이소시아네이트 및 이들의 조합과 같은 음전하 부분이며, x는 0 내지 약 2의 정수이며, y는 약 2 내지 4의 정수이다. 다른 나노 다공성 화합물 및 방법이 본 발명에 참고문헌으로 편입된 미국특허 제 6,171,687; 6,172,128; 6,214,746; 6,313,185; 6,380,347; 및 6,380,270호에 개시되어 있다.
어구 "캐이지(cage) 구조", "캐이지 분자" 및 "케이지 화합물"은 상호 교환적으로 사용되며, 최소 하나의 브리지가 둘 이상의 고리 시스템 원자와 공유결합적으로 연결되도록 배열된 원자가 최소 10개인 분자를 칭한다. 즉, 캐이지 구조, 캐이지 분자 또는 캐이지 화합물은 공유결합된 원자에 의해 형성되는 다수의 고리를 포함하며, 여기서, 상기 구조, 분자 또는 화합물은 체적을 정의하는데 즉, 체적으로 위치된 지점은 링의 통과없이 남겨질 수 없다. 상기 브리지 및/또는 고리 시스템은 하나 이상의 헤테로원자를 포함할 수 있으며, 방향족, 부분적으로 포화 또는 불포화될 수 있다. 또한, 고려되는 캐이지 구조는 적어도 하나의 브리지를 갖는 플러린 및 크라운 에테르를 포함한다. 예를 들어, 아다만탄 또는 디아만탄은 캐이지 구조로 고려되나, 나프탈렌 화합물 또는 방향족 스피로 화합물은 정의된 범주하에서 캐이지 구조로 고려되지 않으며, 이는 나프탈렌 화합물 또는 방향족 스피로 화합물이 하나 이상의 브리지를 가지지 않기 때문이다.
고려되는 캐이지 화합물은 탄소원자를 단독으로 포함하는 것으로 제한될 필요는 없으나, N, S, O, P 등과 같은 헤테로원자를 포함할 수 있다. 헤테로 원자는 비-테트라고날 결합 각 구조를 이롭게 도입할 수 있다. 고려되는 캐이지 화합물의 치환체 및 유도체와 관련하여, 대부분의 치환체 및 유도체가 적합한 것으로 인지되어야 한다. 예를 들어, 상기 캐이지 화합물은 상대적으로 소수성이며, 친수성 치환체를 도입하여 친수성 용매내의 용해도를 증가시킬 수 있으며 그 반대로도 가능하다. 선택적으로, 극성이 요구되는 경우에, 극성 측쇄기를 케이지 화합물에 첨가할 수 있다. 적합한 치환체는 열불안정성기, 친핵성 및 친전자성기를 또한 포함할 수 있는 것으로 여겨진다. 또한 작용기가 캐이지 화합물에 사용될 수 있는 것으로 여겨진다.(예, 가교반응, 유도화 반응 등을 촉진하기 위하여)
본 발명의 상세한 설명에 개시된 바와 같이, 캐이지 분자 또는 화합물은 중합체 백본에 부착된 기일 수 있으며, 따라서, 상기 캐이지 화합물은 한가지 종류의 공극(분자내)을 형성하며 백본의 최소 일부분이 단독으로 또는 다른 백본과의 가교로 다른 종류의 공극(분자간)을 형성하는 나노다공성 물질을 형성할 수 있다. 추가의 캐이지 분자, 캐이지 화합물 및 이러한 분자 및 화합물의 변형이 본 발명에 참고문헌으로 편입된 PCT/US01/32569호(2001년 10월 18일 출원)에 개시되어 있다. 고려되는 중합체는 방향족 시스템 및 할로겐화기를 포함하는 광범위한 작용기 부분 또는 구조 부분을 포함할 수 있다. 나아가, 적합한 중합체는 단일 중합체 및 헤테로 중합체를 포함하는 대부분의 구성을 가질 수 있다. 또한, 선택적인 중합체는 선형, 분지형, 극(super)-분지형, 또는 3차원과 같은 다양한 형태를 가질 수 있다. 고려되는 중합체의 분자량은 일반적으로 400 달톤 내지 400000달톤 이상으로 광범위하다. 이 기술분야에 통상적으로 알려진 바와 같이, 안정화제, 난염제, 안료, 가소제, 계면활성제 등을 포함하는 첨가제가 특정한 성질을 개선 또는 부여하기 위하여 사용될 수 있다. 혼화성 또는 비-혼화성 중합체가 원하는 물성을 제공하도록 혼합될 수 있다. 접착 촉진제가 또한 사용될 수 있다. 이러한 촉진제는 헥사메틸디실라잔이 일반적이며, 실리콘디옥사이드와 같은, 수분 또는 습기에 노출되는 표면상에 존재할 수 있는 이용가능한 수산화 작용기와 반응시키는데 사용할 수 있다.
마이크로전자 적용을 위한 중합체는 바람직하게 특히 층간 유전체의 경우 이온성 불순물을 낮은 수준 (일반적으로 1ppm 미만, 바람직하게는 10 ppb 미만)으로 함유한다.
본 발명에 개시된 물질, 전구체 및 층은 결과 용액이 기판, 표면, 웨이퍼 또는 적층물질에 적용될 수 있는 한, 여러가지 방법으로 어떠한 용매에 용매화 또는 용해되도록 의도된다. 또한, 일반적인 용매는 단량체, 이성질체 단량체 혼합물 및 중합체를 용매화할 수 있는 것이다. 고려되는 용매는 임계온도와 같은 바람직한 온도에서 휘발되거나 또는 상기된 목적 또는 요구를 만족할 수 있는 어떠한 순수한 유기 또는 무기 분자, 또는 유기 또는 무기분자의 화합물을 포함한다. 또한, 상기 용매는 어떠한 적합한 단일 극성 및 비극성 화합물 또는 이들의 혼합물을 포함할 수 있다. 본 발명에서 사용되는 용어, "극성"이란 분자 또는 화합물의 일포인트 또는 그 주위에 고르지 않은 전하, 부분전하 또는 자연발생 전하분포를 형성하는 분자 또는 화합물의 성질을 의미한다. 본 발명에서 사용되는 용어 '비극성'이란, 분자 또는 화합물의 일포인트 또는 그 주위에 동일한 전하, 부분 전하 또는 자연발생 전하를 형성하는 분자 또는 화합물의 성질을 의미한다. 일부 구현에 있어서, 상기 용매 또는 용매 혼합물(최소 두개의 용매를 포함)는 탄화수소류의 용매의 일부인 것으로 고려되는 용매를 포함한다. 탄화수소 용매는 탄소 및 수소를 포함하는 용매이다. 탄화수소 용매의 대부분이 비극성인 것으로 여겨지나; 극성인 탄화수소 용매로 몇몇이 존재한다. 탄화수소 용매는 일반적으로 3개의 종류로 분류된다: 지방족, 고리족 및 방향족. 지방족 탄화수소는 직선형 화합물 및 분지 및 가능하게는 가교된 화합물을 모두 포함하나, 지방족 탄화수소 용매는 고리형은 아닌 것으로 여겨진다. 고리형 탄화수소 용매는 지방족 탄화수소 용매와 유사한 성질을 갖는 고리구조에 배향된 적어도 3개의 탄소원자를 포함하는 용매이다. 방향족 탄화수소 용매는 일반적인 결합 및/또는 이와 함께 퓨즈된 다중 고리에 의해 부착된 단일 고리 또는 다중 고리와 함께 3개 이상의 불포화 결합을 포함하는 것이다. 고려되는 탄화수소 용매로는 톨루엔, 자일렌, p-자일렌, m-자일렌, 메시틸렌, 용매 나프타 H, 용매 나프타 A, 펜탄, 헥산, 이소헥산, 헵탄, 노난, 옥탄, 도데칸, 2-메틸부탄, 헥사데칸, 트리데칸, 펜타데칸, 시클로펜탄, 2,2,4-트리메틸펜탄과 같은 알칸, 페트롤륨 에테르, 염화 탄화수소와 같은 할로겐화 탄화수소, 질산염화 탄화수소, 벤젠, 1,2-디메틸벤젠, 1,2,4-트리메틸벤젠, 미네랄 스피리트, 케로센, 이소부틸벤젠, 메틸나프탈렌, 에틸톨루엔, 리그로인을 포함한다. 특히 고려되는 용매로는 이에 제한하는 것은 아니나, 펜탄, 헥산, 헵탄, 시클로헥산, 벤젠, 톨루엔, 자일렌 및 이들의 혼합물 또는 조합을 포함한다.
다른 구현에 있어서, 상기 용매 또는 용매 혼합물은 아세톤, 3-펜타논, 디에틸케톤, 메틸에틸케톤등과 같은 케톤, 알콜, 케톤, 에스테르, 에테르 및 아민과 같은 탄화수소 용매의 종류가 아닌 용매를 포함할 수 있다. 여전히 다른 구현에 있어서, 상기 용매 또는 용매 혼합물은 본 발명에 언급되는 어떠한 용매의 조합을 포함할 수 있다.
바람직한 구현에 있어서, 상기 용매는 물, 에탄올, 프로판올, 아세톤, 에틸렌옥사이드, 벤젠, 톨루엔, 에테르, 시클로헥사논, 부티로락톤, 메틸에틸케톤 및 아니솔을 포함한다.
추가적으로, 선택적인 저유전 상수 물질은 또한 추가의 성분을 포함하는 것으로 여겨진다. 예를 들어, 저유전 상수 물질이 기계적 응력에 노출되는 경우, 연화제 또는 다른 보호제가 첨가될 수 있다. 유전물질이 윤활표면에 위치되는 경우에, 접착 촉진제가 유리하게 사용될 수 있다. 또 다른 경우에서 세정제 또는 거품방제의 첨가가 바람직할 수 있다. 일반적으로, 하나 이상의 제거가능한 용매를 포함하는 전구체, 예를 들어, 스핀-온 용매 조성물을 기판에 적용된 다음, 중합되고 용매를 제거하여 나노미터 크기의 공극을 포함하는 유전필름을 형성한다.
이러한 나노다공성 필름을 형성하는 경우, 예를 들어, 상기 전구체가 스핀코팅에 의해 기판에 적용되는 경우, 상기 필름 코팅은 일반적으로 산 또는 염기 촉매 및 물로 촉매화되어 초기 가열단계 도중에 중합/겔화 ("숙성")을 일으킨다. 그 다음, 상기 필름은 예를 들어, 상기 필름을 특히, 필요에 따라 어떠한 나머지 용매를 제거하고 중합 공정을 완료하기 위하여 하나 이상의 고온 가열단계에 적용하여 경화킨다. 다른 경화방법은 상기 필름을 방사에너지, 예를 들어, 자외선, 전자빔, 마이크로 에너지등에 적용하는 단계를 포함한다.
본 발명에 참고문헌으로 편입된, 미국특허 6,204,202 및 6,413,882호에서는 실리콘 기초 전구체 조성물 및 상기 전구체 조성물에 존재하는 하나 이상의 중합체 또는 올리고머를 분해 또는 기화시켜 나노 다공성 실리카 유전필름을 제조하는 방법을 제공한다. 미국특허 제 6,495,479호에서는 실리콘 기초 전구체 조성물 및 전구체 조성물에 존재하는 하나 이상의 화합물 또는 중합체를 분해 또는 기화하여 나노 다공성 실리카 유전필름을 제조하는 방법을 제공한다. 미국특허 제 5,895,263호에서는 분해성 중합체 및 유기 폴리실리카, 즉, 축합 또는 중합된 실리콘 중합체를 포함하는 조성물을 적용하는 단계, 상기 조성물을 가열하여 상기 폴리실리카를 추가로 축합하는 단계 및 상기 분해성 중합체를 분해하여 다공성 유전층을 형성하는 단계에 의해, 기재, 예를 들어, 웨이퍼에 나노다공성 실리카 유전필름을 형성함을 개시하고 있다.
기판에 전구체를 적용, 숙성, 경화, 평탄화 및 필름을 소수성으로 만드는 방법이 예를 들어, 미국특허 제 6,589,889호 및 6,037,275호에 개시되어 있다. 본 발명에서 고려되는 기판 및 웨이퍼는 어떠한 바람직한 실질적으로 고형물질을 포함할 수 있다. 특히, 바람직한 기판층은 필름, 유리, 세라믹, 플라스틱, 금속 또는 코팅된 금속 또는 복합체 물질을 포함할 것이다. 바람직한 구현에 있어서, 상기 기판은 실리콘 또는 갈륨비소 다이 또는 웨이퍼 표면, 구리, 은, 니켈 또는 금 도금된 리드프레임과 같은 패키징 표면, 회로기판 또는 패키지 내부연결 트레이스에서와 같은 구리표면, 비아-월 또는 보강제 내부계면("구리"는 나동선 및 그 산화물을 포함한다.), 폴리이미드-기초 플렉스 패키지, 리드 또는 다른 금속 합금 솔더 볼 표면, 유리 및 폴리이미드와 같은 폴리머에서와 같은 중합체-기초 패키징 또는 보드 계면을 포함한다. 상기 "기판"은 접착성 계면으로 고려되는 경우에 다른 중합체 사슬로 정의될 수 있다. 보다 바람직한 구현에 있어서, 상기 기판은 실리콘, 구리, 유리 및 다른 중합체와 같은 패키징 및 회로기판 산업에 일반적인 물질을 포함한다.
PECVD 기술에 의한 캡필름의 증착 및 에칭 및 애싱 방법으로 패턴화하여 비아 및 트렌치 형성과 같은 연속적인 반도체 제조공정은 유기실리케이트(organosilicate) 유리 유전필름으로부터 소수성기인 부분을 함유하는 탄소를 제거하고 이를 실란올기로 대체하는 경향이 있다. 상기 유기실리케이트 유리 유전필름이 실란올기를 함유하는 경우 바람직하지 않은 결과가 얻어진다. 실란올, 공기로부터 흡착되는 물은 전계에서 매우 극성이며, 이에 따라 필름의 유전상수를 증가시키며, 습윤세척 화학에 대한 저항성이 낮아지며, 휘발물 방출이 증가될 것이다. 또한, 상기 트렌치 및 비아가 금속과 함께 채워지고 어닐링 처리에 적용되는 경우, 금속 수축은 비아 및 트렌치 벽상에서 응력을 유도하며, 상기 비아와 트렌치 사이의 유전물질 내부에 바람직하지 않은 공극을 형성한다.
이러한 문제를 개선하기 위해, 상기 유기실리케이트 유리 유전 필름은 탄소 함유 부분을 회복시키고 상기 유기실리케이트 유리 유전필름의 소수성을 증가시키기 위해 강인화제로 처리하여 실질적으로 실란올 및 물이 없도록 만든다. 이는 필름을 어닐링 도중에 금속 수축에 의해 유도되는 것과 같은, 비아 및 트렌치상의 응력, 다른 유전층으로부터의 응력 및 패키징 도중의 응력에 대하여 저항성이 되도록하며, 이에 따라, 비아 및 트렌치 사이의 유전필름 물질 내부에 형성되는 바람직하지 않은 공극을 방지한다.
에칭 및 플라즈마는 소수성 작용기를 제거한다. 반도체 제조 도중에 유기실리케이트 유리 유전 필름의 손상으로 유전필름 내에 트렌치 및 비아를 에칭하기 위하여 집중적인 플라즈마 및/또는 에칭제를 적용한다. 또한, 플라즈마를 사용하여 반도체 장치의 제작 도중의 포토레지스트 필름을 제거한다. 사용되는 플라즈마는 일반적으로, 원소 산소, 불소, 수소, 탄소, 아르곤, 헬륨 또는 질소(유리 원자, 화합물, 이온 및/또는 라디칼의 형태로)로 이루어진다.
트렌치, 비아, 에칭 및/또는 포토레지스트 제거 도중에 이러한 플라즈마에 노출되는 유전 필름은 쉽게 분해되거나 손상된다. 다공성 유전 필름은 매우 고표면적이며, 따라서 플라즈마 손상에 특히 취약하다. 특히, 유기 함량(Si 원자에 결합된 메틸기와 같은)을 갖는 실리카 기초 유전 필름은 산소 플라즈마에 의해 쉽게 분해된다. 상기 유기기는 CO2로 산화되며, 실란올 또는 Si-OH기는 유기기가 이미 존재하는 유전 표면에 잔류한다. 다공성 실리카 필름은 소수성을 유지하기 위하여 이러한 유기기(기공성 표면상)에 의존한다. 소수성의 손실은 유전상수를 증가시킨다(이러한 필름의 저유전상수는 이러한 물질의 중요한 바람직한 특성이다.).
또한, 트렌치 또는 비아 에칭 후에 나머지 잔기를 제거하기 위한 목적으로 IC 제조에 습윤 화학처리를 행한다. 이러한 화학제를 집중적으로 사용함에 따라, 실리카 기초 유전필름, 특히, 다공성 실리카 필름에서 유기기를 공격하고 제거할 것이다. 한번 더, 이러한 손상은 필름의 소수성을 손실시킬것이다. 습윤 화학 에칭제로는 예를 들어, N-메틸피롤리디논, 디메틸포름아미드, 디메틸아세트아미드와 같은 아미드; 에탄올 및 2-프로판올과 같은 알콜; 에탄올아민과 같은 알콜아민; 트리에틸아민과 같은 아민; 에틸렌디아민 및 N,N-디에틸에틸렌디아민과 같은 디아민; 디에틸렌트리아민과 같은 트리아민; 에틸렌디아민테트라아세트산 "EDTA"와 같은 디아민; 아세트산 및 포름산과 같은 유기산; 테트라메틸암모늄 아세테이트와 같은 유기산의 암모늄염; 황산, 인산, 플루오르화 수소산과 같은 무기산; 암모늄플루오라이드와 같은 불화염; 암모늄 하이드록사이드 및 테트라메틸암모늄 하이드록사이드와 같은 염기; 및 하이드록시아민; 이 기술분야에 에칭제로 알려져 있는, EKC 505, 525, 450, 265, 270 및 630 (EKC Corp., Hayward CA), 및 ACT-CMI 및 ACT-690 (Ashland Chemical, Hayward CA)와 같은 후에칭 습윤 세척을 위해 개발된 상업적인 배합물을 포함한다. 애싱제로는 수소, 질소, 헬륨, 아르곤, 산소 및 이로부터 유도되는 혼합물 등으로부터 유도되는 플라즈마를 포함한다.
상기된 문제를 해결하기 위하여, 본 발명은 반도체 또는 IC 장치를 제조하는 공정 도중에 기판상에 존재하는 유기 실리케이트 유리 유전체 필름에 소수성을 부여하는 방법을 제공한다.
본 발명의 방법은 (a) 유기 실리케이트 유리 유전필름을, 최소 하나의 에칭제 또는 애싱제에 적용한 후에, 그러나, 금속을 어닐링처리에 적용하기 전에, 탄소 함유 부분의 최소 일부를 유기 실리케이트 유리 유전필름에 복원하고 유기 실리케이트 유리유전 필름의 소수성을 증가시키기에 유효한 농도 및 시간동안 강인화제 조성물과 접촉시키는 단계; 및 (b) 미반응 강인화제 조성물, 반응 생성물 및 이들의 혼합물을 제거하는 단계를 포함한다. 상기 강인화제 조성물은 최소 하나의 강인화제, 즉, 손상된 실리카 유전 필름으로부터 실란올 부분을 제거하기에 적합한 화합물 또는 하전된 이들의 유도체를 포함한다. 임의로, 에칭제-손상된 나노 다공성 실리카 유전 필름은 그 다음 습윤 세척 단계에 적용된다.
일 구현에 있어서, 상기 강인화제 조성물은 다음 화학식을 갖는 최소 하나의 강인화제 화합물을 포함한다:
[-SiR2NR'-]n, 이때 n>2이고, 고리형일 수 있으며; (2) R3SiNR'SiR3,
(R3Si)3N; (4) R3SiNR'2; (5) R2Si(NR')2; (6) RSi(NR')3; (7) RxSiCly, (8) RxSi(OH)y, (9) R3SiOSiR'3, (10) RxSi(OR')y, (11) RxSi(OCOR')y, (12) RxSiHy; (13) RxSi[OC(R')=R"]4-x 및 이들의 혼합물,
이 때, x는 1 내지 3의 정수이며, y는 y=4-x가 되도록 1 내지 3의 정수이며; 각 R은 독립적으로 수소 및 소수성 유기부분으로부터 선택된다. R기는 바람직하게 알킬, 아릴 및 이들의 조합으로 구성되는 유기 부분의 그룹으로부터 독립적으로 바람직하게 선택된다. R'기는 수소, 알킬, 아릴 또는 COR, CONR, CO2R과 같은 카르보닐일 수 있다. R"은 알킬, COR, CONR, CO2R과 같은 카르보닐일 수 있다.
또한, 상기 강인화제 조성물은 다음 강인화제 또는 화합물중 적어도 하나를 포함한다: 아세톡시트리메틸실란, 아세톡시실란, 디아세톡시실란, 트리아세톡시실란, 디아세톡시디메틸실란, 메틸트리아세톡시실란, 페닐트리아세톡시실란, 디페닐디아세톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 트리메틸에톡시실란, 메틸트리메톡시실란, 디메틸디메톡시실란, 트리메틸메톡시실란, 메틸트리클로로실란, 디메틸디클로로실란, 트리메틸클로르실란, 메틸실란, 디메틸실란, 트리메틸실란, 헥사메틸디실라잔, 헥사메틸 시클로트리실라잔, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)실란, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트, 2-트리메틸실옥시펜트-2-엔-4-온, n-(트리메틸실릴)아세트아미드, 2-(트리메틸실릴)아세트산, n-(트리메틸실릴)이미다졸, 트리메틸실릴프로피올레이트, 트리메틸실릴(트리메틸실옥시)-아세테이트, 노나메틸트리실라잔, 헥사메틸디실록산, 트리메틸실란올, 트리에틸실란올, 트리페닐실란올, t-부틸디메틸실란올, 디페닐실란디올, 트리메톡시실란, 트리에톡시실란, 트리클로로실란 및 이들의 혼합물. 본 발명의 바람직한 구현에 있어서, 상기 강인화제는 디메틸디아세톡시실란을 포함한다.
임의로, 상기 강인화제 조성물은 용매를 포함한다. 적합한 용매로는 예를 들어, 케톤, 에테르, 에스테르, 탄화수소 및 이들의 혼합물을 포함한다.
강인화제 조성물은 액체, 증기 또는 가스 및/또는 플라즈마로서 손상된 실리카 유전필름과 접촉된다. 플라즈마의 형태인 경우, 상기 플라즈마는 실란 화합물, 탄화수소, 알데히드, 에스테르, 에테르 및/또는 이들의 혼합물로부터 유도될 수 있다. 본발명의 용어 '작용제(agent)'는 달리 명시하지 않는 한 "반응제(reagent)"와 동일한 의미로 여겨진다.
적합한 강인화제 조성물은 소수성을 만드는 바람직한 에칭된 및/또는 애싱된 유기실리케이트 유리 유전필름의 표면으로부터 실란올기를 제거할 수 있는 하나 이상의 강인화제를 포함한다. 예를 들어, 강인화제는 화힉식 I(1-13): (1)[-SiR2NR'-]n, 이때 n>2이고, 고리형일 수 있으며; (2) R3SiNR'SiR3, (3)(R3Si)3N; (4) R3SiNR'2; (5) R2Si(NR')2; (6) RSi(NR')3; (7) RxSiCly, (8) RxSi(OH)y, (9) R3SiOSiR'3, (10) RxSi(OR')y, (11) RxSi(OCOR')y, (12) RxSiHy; (13) RxSi[OC(R')=R"]4-x 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 화학식을 갖는 화합물이다.
상기 식에서, x는 1 내지 3의 정수이며, y는 y=4-x이 되도록 하는 1 내지 3의 정수이며; 각 R은 독립적으로 수소 및 소수성 유기부분으로부터 선택된다. R기는 바람직하게 알킬, 아릴 및 이들의 조합으로 구성되는 유기 부분의 그룹으로부터 독립적으로 바람직하게 선택된다. 상기 R'기는 수소, 알킬, 아릴, 또는 COR, CONR, CO2R과 같은 카르보닐일 수 있다. 상기 R"은 알킬 또는 COR, CONR, CO2R과 같은 카르보닐일 수 있다.
알킬부분은 작용화 또는 비-작용화되며, 직선 알킬, 분지 알킬, 고리형 알킬 및 이들의 혼합물로 구성되는 그룹으로부터 선택되며, 이때, 상기 알킬 부분은 C1 내지 C18 이다. 상기 아릴 부분은 치환 또는 비치환되며 크기는 C5 내지 C18이다. 바람직하게, 상기 강인화제는 아세톡시실란, 또는 예를 들어, 아세톡시트리메틸실란, 아세톡시실란, 디아세톡시실란, 트리아세톡시실란, 디아세톡시디메틸실란, 메틸트리아세톡시실란, 페닐트리아세톡시실란, 디페닐디아세톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 트리메틸에톡시실란, 메틸트리메톡시실란, 디메틸디메톡시실란, 트리메틸메톡시실란, 메틸트리클로로실란, 디메틸디클로로실란, 트리메틸클로르실란, 메틸실란, 디메틸실란, 트리메틸실란, 헥사메틸디실라잔, 헥사메틸 시클로트리실라잔, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)실란, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트, 2-트리메틸실옥시펜트-2-엔-4-온, n-(트리메틸실릴)아세트아미드, 2-(트리메틸실릴)아세트산, n-(트리메틸실릴)이미다졸, 트리메틸실릴프로피올레이트, 트리메틸실릴(트리메톡실옥시)-아세테이트, 노나메틸트리실라잔, 헥사메틸디실록산, 트리메틸실란올, 트리에틸실란올, 트리페닐실란올, t-부틸디메틸실란올, 디페닐실란디올, 트리메톡시실란, 트리에톡시실란, 트리클로로실란 및 이들의 혼합물과 같은 단량체 화합물이다. 일 구현에 있어서, 상기 강인화제는 메틸트리아세톡시실란이다. 바람직한 구현에 있어서, 상기 강인화제는 디메틸디아세톡시실란이다.
추가의 강인화제로는 상기된 바와 같이, 본 발명에 참고문헌으로 편입된 미국특허 제 6,208,014호에 상세하게 개시된 다작용성 표면 개질제를 포함한다. 이러한 다작용성 표면 개질제는 증기 또는 액체 형으로, 임의의 공용매와 함께 또는 공용매 없이 적용될 수 있다. 적합한 공용매로는 예를 들어, 아세톤, 디이소프로필케톤, 2-헵타논, 3-펜타논과 같은 케톤 및 본 발명에 참고문헌으로 편입된 미국특허 제 6,395,651호에 상세하게 개시된 것을 포함한다. 예를 들어, 미국 특허 제 6,208,014호에 개시된 바와 같이, 특정 바람직한 표면 개질제는 둘 이상의 작용기를 가지며 표면 실란올 작용기와 반응하며, 필름의 구조 틀구조 외부에 존재하는 매스를 최소화하며, 예를 들어,
RxSi(OH)4-x 화학식 II
와 같은 적합한 실란올과 축합할 수 있는 표면 실란올을 포함하며,
이때, x는 1 내지 3이며, 각 R 은 H 및/또는 알킬, 아릴 또는 이들의 유도체와 같은 유기 부분과 같이, 독립적으로 선택된 부분이다. R이 알킬인 경우, 알킬 부분은 임의로 치환 또는 비치환되며, 직선형, 분지형 또는 고리형일 수 있으며, 바람직하게는 C1 내지 C18 또는 그 이상의 범위이며, 보다 바람직하게는 C1 내지 C8이다. R이 아릴인 경우, 아릴 부분은 임의로 치환 또는 비치환된 단일 방향족 고리로 바람직하게 구성되며, C5~C18 또는 그 이상, 보다 바람직하게는 C5~C8의 범위이다. 추가로, 아릴부분은 헤테로아릴이다.
다른 구현에 있어서, 알콕시 실란이 강인화제로 사용될 수 있으며, 예를 들어, 적합한 알콕시 실란은
RxSi(OR')4-x
이며, 상기 식에서, R은 H 및/또는 알킬, 아릴 또는 이들의 유도체와 같은 유기 부분으로부터 독립적으로 선택되며; R'은 독립적으로 선택된 알킬 또는 아릴 부분이다. R 또는 R'이 알킬인 경우에, 상기 알킬 부분은 임의로 치환 또는 비치환되며, 직선형, 분지형 또는 고리형일 수 있으며, 바람직하게는 C1 내지 C18이상이며, 보다 바람직하게는 C1 내지 약 C8이다. R 또는 R'이 아릴인 경우에, 상기 아릴 부분은 바람직하게 임의로 치환 또는 비치환된 단일 방향족 고리로 구성되며, C5 내지 C18 이상이며, 보다 바람직하게는 C5 내지 C8이다. 추가의 선택에 있어서, 상기 아릴 부분은 헤테로아릴이다. 이에 따라, 상기 R기는 최소 하나의 R이 유기성인 경우, 독립적으로 H, 메틸, 에틸, 프로필, 페닐 및/또는 이들의 유도체로부터 선택된다. 일 구현에 있어서, R기는 모두 메틸이며, 삼작용성 표면 개질제는 메틸트리메톡시실란이다.
다른 구현에 있어서, 본 발명에 따른 적합한 실란은 다음 화학식을 가지며
RxSi(NR2)4-x 화학식 III
상기 식에서, X는 1 내지 3이며, R은 독립적으로 H, 알킬 및/또는 아릴이다. 이때, 어떠한 R이 알킬 및/또는 아릴이다. 바람직한 구현에 있어서, R은 H, CH3, C6H5로부터 선택되며, R2 및 R3는 모두 CH3이다. 이에 따라 삼작용성 강인화제로는 예를 들어, 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)페닐실란, 및/또는 트리스(디메틸아미노)실란을 포함한다. 또한, 이치환된 실란은 헥사메틸시클로트리실라잔, 비스디메틸아미노디메틸실란 및 비스디에틸아미노디메틸실란과 같은 것이 사용될 수 있다.
또 다른 구현에 있어서, 본 발명에 따른 적합한 실란은 다음 화학식을 가지며,
RxSi(ON=CR2)4-x 또는 RxSi[OC(R')=R"]4 화학식 Ⅳ
상기 식에서, x는 1 내지 3이며, R기는 독립적으로 H, 알킬, 및/또는 아릴이며, R'은 H, 알킬, 아릴, 알콕시 또는 아릴옥시일 수 있으며 R"은 알킬 또는 카르보닐일 수 있다. 이에 따라 개질제는 각각 예를 들어, 메틸트리스(메틸에틸케톡심) 실란 또는 2-트리메틸실옥시펜트-2-엔-4-온이다.
또 다른 구현에 있어서, 본 발명에 따른 적합한 실란은 다음 화학식을 가지며,
RxSi(NCOR2)4-x 또는 RxSi(NCO)4-x
상기 식에서, x는 1 내지 3이며, R기는 독립적으로 H, 알킬 및/또는 아릴이다. 이에 따른 표면 개질제로는 예를 들어, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트를 포함한다.
추가 구현에 있어서, 본 발명에 따른 적합한 실란은 다음 화학식을 가지며,
RxSiCl4 -x 화학식 Ⅴ
상기 식에서, x는 1 내지 3이며, R은 H, 알킬 또는 아릴이다. 바람직한 일 구현에 있어서, R은 CH3이다. 이에 따라, 화학식Ⅴ에 따른 삼작용성 표면 개질제는 예를 들어 메틸트리클로로실란을 포함한다.
보다 바람직한 구현에 있어서, 캡핑제(capping agent)는 다음 화학식을 갖는 하나 이상의 유기아세톡시실란을 포함한다.
(R1)xSi(OCOR2)y 화학식 Ⅵ
바람직하게, x는 1 내지 2의 정수이며, x 및 y는 동일하거나 상이할 수 있으며, y는 약 2 내지 3 또는 그 이상의 정수이다.
다작용성 알킬아세톡시실란 및/또는 아릴아세톡시실란 화합물을 포함하는 유용한 유기아세톡시실란으로는 이로써 제한하는 것은 아니나, 메틸트리아세톡시실란("MTAS"), 디메틸디아세톡시실란(DMDAS), 페닐트리아세톡시실란 및 디페닐디아세톡시실란 및 이들의 혼합물을 포함한다.
임의로, 상기 강인화제는 2-헵타논과 같은 적합한 용매와 혼합되며, 증기 또는 액체의 형태로 나노 다공성 실리카 표면에 적용된 다음 건조된다. 일 구현에 있어서, 50% 헥사메틸디실라잔(HMDZ) 및 50% 3-펜타논의 혼합물이 사용된다. 상기 액체는 표면, 기판 또는 웨이퍼에 스핀 코팅된다. 상기 코팅된 표면은 베이크 플레이크상에서 최대 425℃의 온도로 베이크된다. 이러한 베이크 단계 후에 PVD 배리어 및 Cu-시드 침전(Cu-seed deposition)이 수행된다. 다른 구현에 있어서, 디메틸디아세톡시실란(DMDAS) 및 3-펜타논의 혼합물이 사용된다. 액체를 표면, 웨이퍼 또는 기판상에 스핀코팅한다. 코팅된 표면을 베이크 플레이트 상에서 최대 425℃의 온도로 베이크한다. 이러한 베이크 단계 후에 PVD 배리어 및 Cu-시드 침전이 수행된다.
또 다른 구현에 있어서, AP395 또는 묽은 HF와 같은 화학제를 사용하는 습윤 세척은 상기된 구현에서 베이크 단계 후에 수행된다. 습윤 세척은 애싱 후에 잔류하는 어떠한 레지스트 잔류물을 제거하기에 유용하다. 에칭 및 애싱 후에 미처리된 저-k-유전 물질은 습윤 세척제에 의해 공격당하는 경향이 있다. 상기 강인화제 처리는 습윤 세척에 의한 공격에 대한 저-k-유전물질의 저항성을 상당히 개선시킨다.
공정 플로우에 따라서, 구리 표면은 강인화제 처리 도중에, 특히 비아(via)의 바닥에서 노출될 수 있다. 구리 표면으로부터 원래 산화물을 제거할 뿐만 아니라, 습윤 세척은 또한 강인화제 및 노출된 구리 표면사이의 어떠한 반응 생성물을 제거할 수 있다. 특히, AP395를 사용하는 습윤 세척은 DMDAS를 사용하는 강인화제 처리에 미리 노출된 구리(또는 어떠한 적합한 금속 또는 금속합금) 표면을 세척할 수 있다.
이후, 비아 및 트렌치는 금속으로 채울 수 있으며; 상기 금속은 어닐링 처리에 적용된다. 본 발명에서 사용되는 용어 "금속"은 실리콘 및 게르마늄과 같은 금속-형 성질을 갖는 원소와 함께 원소 주기율표의 d-블록 및 f-블록에 있는 원소를 의미한다. 본 발명에서 사용되는 바와 같이, "d-블록"은 원소의 핵을 둘러싸는 3d, 4d, 5d, 및 6d 오비탈을 채우는 전자를 갖는 원소를 의미한다. 본 발명에서 사용되는 "f-블록"이란 란탄계열 및 악티니드 계열을 포함하는 원소의 핵 주위의 4f 및 5f 오비탈을 채우는 전자를 갖는 원소를 의미한다. 바람직한 금속으로는, 인듐, 은, 구리, 알루미늄, 주석, 비스무스, 갈륨 및 이들의 합금, 은 코팅된 구리 및 은 코팅된 알루미늄을 포함한다. 또한, 용어 "금속"은 합금, 금속/금속 복합체, 금속 세라믹 복합체, 금속 중합체 복합체, 뿐만 아니라 다른 금속 복합체를 포함한다. 어닐링은 약 10초 내지 약 60분 동안 약 150 내지 약 350℃, 또는 200 내지 250℃의 온도에서 가열에 의해 수행될 수 있다. 이러한 시간 및 온도는 어닐링이 수행되는 동안 한정되지 않는다. 다른 구현에 있어서, 습윤 세척은 제 1구현에서 베이크 공정 전에 수행될 수 있다. 고온의 베이크 단계는 습윤세척 이후에 수행된다. 이러한 방법의 잇점은 습윤 세척이 과량의 강인화제 및 베이크 공정에 의해 "경화(hardened)"되기 전에 어떠한 노출된 구리 표면과의 어떠한 반응 생성물을 제거할 수 있다는 것이다. 이는 유전물질 및 클리너 구리 표면에 저휘발 물질이 결과될 수 있다. 모두는 개선된 장기간 신뢰성이 결과될 수 있다. 다른 구현에 있어서, 1분 내지 120분 동안 약 100 내지 400℃에서 추가 탈수 베이크가 강인화제(TA) 처리전에 수행된다. 탈수 베이크는 손상된 저-k 유전체에 흡수된 어떠한 수분을 제거한다. 강인화제 처리 전에 유전체로부터 수분을 제거하는 것은 처리를 보다 효율적이게 한다.
선택적인 구현에 있어서, 상기 강인화제 조성물은 상기된 강인화제 중 어떠한 것으로 부터 유도되는 플라즈마에 에칭제-손상된 유기 실리케이트 유리 유전필름을 노출시켜 제공된다. 일반적인 공정에 있어서, 상기 유기 실리케이트 유리 유전필름은 플라즈마 증가 화학적 증착(PECVD) 시스템과 같은 플라즈마 발생 챔버에 위치되며; 강인화제 조성물의 증기 및 아르곤 증기를 플라즈마 발생 챔버에 통과시킨 다음; RF 에너지 공급원이 활성화되어 플라즈마를 생성하고; 상기 아르곤 가스를 포함하여 플라즈마의 형성을 촉진시킨다. 상기 플라즈마는 강인화제 조성물로부터 유도되는 이온성 프래그먼트이며, 예를 들어, 이온 프래그먼트 CH3Si+는 메틸실란(CH3SiH3)로부터 발생된다. 이러한 프래그먼트는 실란올기와 반응하여 소수성 Si-CH3 부분을 형성한다. 상기된 강인화제 조성물 중 어떠한 것이 플라즈마 유도 표면 처리에 사용될 수 있다.
플라즈마 유도된 표면 개질 처리를 위한 다른 적합한 강인화제 조성물로는 C1-C12 알킬 및 방향족 탄화수소를 포함한다. 가장 바람직한 탄화수소는 메탄이다. 플라즈마 유도된 강인화제의 다른 작용제로는 알데히드, 에스테르, 산 클로라이드 및 에테르를 포함한다. 적합한 알데히드로는 아세트알데히드 및 벤즈알데히드를 포함하며; 적합한 에스테르로는 에틸아세테이트 및 메틸벤조에이트를 포함하며; 적합한 산클로라이드로는 아세틸클로라이드 및 벤질클로라이드를 포함하며; 적합한 에테르로는 디에틸에테르 및 아니졸을 포함한다. 광범위한 단일 웨이퍼 또는 다중 웨이퍼(배치) 플라즈마 시스템은 이러한 공정을 위해 사용될 수 있으며; 이러한 시스템은 Gasonics L3510 포토레지스트 에싱기(asher)와 같은 다운 스트림 애싱기, Applied Materials P5000 또는 반응성 이온 에칭("RIE") 시스템과 같은 PECVD 유전층착 시스템을 포함한다. 광범위하게, 플라즈마 공정을 위한 조건은 다음과 같은 범위 이내에 있다: 챔버 온도, 20 내지 450℃; RF 전력 50 내지 1000W; 챔버 압력 0.05 내지 100 토르; 플라즈마 처리 시간 5초 내지 5분; 및 표면개질 유속 100 내지 2000sccm; 비활성 가스 유속(일반적으로 아르곤) 100~2000 sccm.
당업자는 본 발명이 상기 개시된 플라즈마 표면 처리의 적용에 의해 손상되는지에 따라, 다공성 및/또는 비다공성의 실리카 유전 필름에 소수성 표면을 부여하는 방법을 포함할지를 고려하는 것으로 여겨진다. 이러한 방법을 사용하여 제조된 반도체 장치 또는 ICs는 본 발명의 일부이다.
처리된 유전층 및 물질은 어떠한 적합한 전자 소자에 사용되거나 또는 편입될 수 있다. 본 발명에서와 같이, 전자 소자는 일반적으로 전자 기초 제품에 사용될 수 있는 어떠한 유전성분 또는 적층 유전성물을 포함하는 것으로 여겨진다. 고려되는 전자 소자로는 회로기판, 칩 패키징, 회로기판의 유전소자, 인쇄 배선판 및 캐패시터, 인덕터 및 레지스터와 같은 회로판의 다른 소자를 포함한다.
전자 기초 제품은 이들이 산업 또는 다른 소비자에게 사용될 준비가 되었다는 의미에서 '완료'될 수 있다. 최종 소비재의 예로는 텔레비젼, 컴퓨터, 휴대폰, 호출기, 팜형 오거나이저, 휴대 라디오, 차 스테레오, 리모콘이다. 또한 최종 제품에 잠재적으로 사용되는 회로판, 칩 패키징 및 키보드와 같은 "중간" 제품이 최종 제품이 고려된다.
전제 제품은 또한 구상 모델로부터 최종 스케일 업된 실물 모형까지 어떠한 개발 단계에서 견본 소재를 포함한다. 견본은 최종 제품에서 의도하는 실제 소재를 포함하거나 또는 포함할 수 없으며, 견본은 초기에 시험되는 동안에 다른 소재상의 초기 효과를 무효로 하기 위하여 복합 물질의 외부에 구성되는 일부 소자를 가질 수 있다. 전자제품 및 소자는 소자 또는 제품에 사용하기 위해 제조시 라미네이트되는 적층물질, 적층 소자 및 소자를 포함할 수 있다.
이하 실시예를 통하여 본 발명을 설명하고자 하며 이로써 본 발명을 제한하는 것은 아니다.
실시예 1
Honeywell International, Inc Sunnyvale, California, 6000Å의 NANOGLASS E 나노다공성 실리카 필름 시리즈를 200mm의 실리콘 기판상에 코팅한 다음 TEL DRM-85 에칭기로 C4F8-기초 에칭 및 O2-기초 애싱 공정에 노출시켰다. 두종류의 강인화제(TA-1 및 TA-2)를 평가하였다. 강인화제를 표면 스핀-온 유전체(SOD) 코터로 웨어퍼상에 적용하고, 상기 웨이퍼를 각각 1분동안 125℃, 200℃ 및 350℃에서 고온 플레이트 베이크하였다. 필름 두께 및 굴절율을 타원형계를 사용하여 측정하였다. 원소 조성은 FTIR을 사용하여 분석하였다. 유전상수를 0.1MHz에서 Hg 프로브상에서 측정하였다. 필름의 열안정성을 열탈착 질량 스펙트로스코피(TDMS)에 의해 평가하였다. 단일 수준의 금속 Cu 다마스크 구조는 ILD 및 2000Å TEOS 옥사이드 캡층으로서 3000Å NANOGLASS E 필름과 함께 제조되었다. Cu 어닐링은 1시간동안 N2 분위기로 200℃에서 수행한 다음, 초점 이온빔 스캐닝 전자 현미경(FIB-SEM)을 사용하여 ILD내의 공극을 검사하였다. Cu 화학 기계적 연마(CMP)후에, 자동 프로버를 사용하여 웨이퍼 당 25 다이를 전기적으로 시험하였다.
경화된 NANOGLASS®E 필름의 물성을 다음 표 1에 나타낸다.
[표 1]
경화된 NANOGLASS®E 필름의 일반적인 물성
파라미터 기술 결과
공극 직경 BET 20Å
굴절율 타원형계 1.24
유전상수 MIM@1MHz 2.2
탄성 모듈러스 나노결각 4.5Gpa
경도 나노결각 0.4Gpa
열안정성 등온 TGA <1% 중량손실
NANOGLASS E의 FTIR 스펙트럼으로부터, 에칭 및 애싱 공정으로 경화된 필름과 비교하여 C-H 및 Si-C 함량이 30 내지 40% 감소되며 Si-OH 및 H-OH 결합이 상당히 증가함을 관찰하였다. 강인화제 처리로 경화된 필름의 것과 근접한 C-H 및 Si-C 함량이 결과되었다. TA-2는 TA-1 보다 탄소의 보충 및 Si-OH 및 H-OH 결합의 감소에 효과적이었다.
저-k 필름의 유전상수(k)는 에칭 및 애싱 공정 후에 높았다(>3.0). 이는 Si-OH기에 의한 수분 흡착으로 인한 것으로 여겨진다. 강인화제 처리로 후-경화 수준에 근접한 k로 감소되었다.
표 2는 에칭 및 애싱 이후에, NANOGLASS E 필름이 이들이 습윤 세척에 적합하지 않도록 하는친수성 및 대부분의 습윤 세척 화학에서 높은 에칭속도를 가짐을 나타낸다. TA-1처리는 필름을 소수성 뿐만 아니라 습윤 세척 화학의 일부에 저항성을 가지도록 한다.
[표 2]
에칭-애싱(대조군)이후 및 에칭-애싱 및 TA-1 처리(TA-1)이후 다양한 습윤 세척 화학에 노출시 NANOGLASS E 필름상의 효과
습윤 세척 조건
에칭속도(A/min)
DI수 접촉각(각)
대조군 TA-1 대조군 TA-1
습윤 세척 없음 <10 122
A(묽은 HF) >1000 0 33 112
B(수성 산성) 5 0 <10 118
C(반-수성 불화물) 25 14 <10 <10
D(유기아민) 70 23 <10 47
400℃에서 유전필름의 TDMS 스펙트럼은 TA-1 처리된 필름에서 휘발물의 전개가 에칭 및 애싱 이후의 필름과 유사함을 보여준다. TA-2 처리된 필름은 저휘발물과 함께 상당히 개선된 열 안정성을 나타낸다.
대조군의 경우(즉, 강인화제가 없는 경우), 상당한 공극이 Cu-어닐링 이후에 ILD 공간에 나타날 수 있다. 어닐링 전에는 어떠한 공극도 관찰되지 않았다. 유사한 관찰이 다공성 저-k물질에서 이미 보고된 바 있다. (A.Matsushita, N.Ohashi, K.Inukai, H.J.Shin, S.Sone, K.Sudou, K.Misawa, I.Matsumoto, 및 N.Kobayashi, Proceedings of IEEE International Interconnect Technology Conference, 2003, 147(2003); 및 J.C.Lin, R.A.Augur, B.J. Daniels, S.L.Shue, C.H.Yu 및 M.S.Liang, Proceedings of Advanced Metallization Conference 2002, 637(2002)를 참고바란다.) Cu-어닐링 처리로부터 인장응력은 공극 형성의 원동력으로 예상되어진다. TA-1 또는 TA-2로 처리된 웨이퍼는 Cu-어닐링 후에 어떠한 공극을 나타내지 않았다. 이에 따라, C-고갈 손상을 "보수(repairing)"하는 것은 내부 응력으로 인해 공극 형성에 대한 다공성 저-k물질을 강인화하기에 효율적인 방법으로 증명된다.
선간(line-to-line)의 유출전류는 강인화제 처리에 의해 영향을 받지 않았다. 대조군 웨이퍼는 광범위한 굴곡 저항성 분포를 나타내었다. 높은 저항성 테일이 손상된 ILD 영역에서 잡힌 수분에 의한 기포, Cu 부식 및 피팅(pitting)과 같은 결함으로 인한 것으로 밝혀졌다. 강인화제 처리된 웨이퍼는 수분 엔트랩먼트 및 관련 결함이 제거되기 때문에 비등한 저항성 분포를 나타내었다. 중간 캐패시턴스는 강인화제 처리에 의해 영향을 받지 않으며, 상기 처리된 콤 캐패시터 구조는 보다 적은 피팅/기포 결합으로 인해 높은 수율로 얻어졌다.
NANOGLASS®E 및 다른 유사한 Si-기초 필름상의 공정-유도된 손상의 효과가 개시되었다. 강인화제 TA-1 또는 TA-2를 이용한 후-애싱 처리는 비-손상된 물질의 특성을 복원할 수 있다. SLM 구조에서 성공적인 강인화제의 적용은 내부연결 시험 구조상에서 ILD 공극의 제거 및 보다 높은 수율이 결과됨을 설명하는 것이다.
실시예 2
Sunnyvale, California의 Honeywell International Inc.,으로부터 상업적으로 이용가능한 6000Å HOSP 실리콘 필름을 표준 스핀 코팅법으로 Si 웨이퍼에 형성 하였다. 상기 필름을 400℃의 온도로 N2내에서 경화시켰다. 이들을 다음 공정에 연속적으로 적용시켜 필름에 플라즈마 손상을 유도하였다:
에칭: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40℃(20초)
애싱: 400W/45mT/100sccm O2, 40℃(20초)
강인화제 처리를 3-펜타논에 용해된 27% DMDAS를 사용하여 수행하였다. 강인화제 물질을 스핀 코팅한 후에, 상기 필름을 N2 내에서 1분 동안 각각 125℃, 200℃, 및 350℃에서 베이크하였다.
다음 결과를 참고바란다:
측정 예비-에칭 & 애싱 후-에칭&애싱 후경화제 처리
유전상수(k) 2.7 3.0 2.81
FTIR(CH/SiO 비율) 0.0235 0.017 0.020
H2O 접촉각(°) 104 26 85
파괴강도(MV/cm) 5.51 4.1 5.12
실시예 3
Sunnyvale, California의 Honeywell International Inc.,으로부터 상업적으로 이용가능한 6000Å NANOGLASS 1.9 필름을 표준 스핀 코팅법으로 Si 웨이퍼에 형성하였다. 상기 필름을 425℃의 N2내에서 경화시켰다. 이들을 다음 공정에 연속적으로 적용시켜 필름에 플라즈마 손상을 유도하였다:
에칭: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40℃(20초)
애싱: 400W/45mT/100sccm O2, 40℃(20초)
강인화제 처리를 3-펜타논에 용해된 27% DMDAS를 사용하여 수행하였다. 강인화제 물질을 스핀 코팅한 후에, 상기 필름을 N2 내에서 1분 동안 각각 125℃, 200℃, 및 350℃에서 베이크하였다.
다음 결과를 참고바란다:
측정 예비-에칭&애싱 후-에칭&애싱 후경화제 처리
유전상수(k) 1.83 2.69 1.94
FTIR(CH/SiO 비율) 0.0078 0.0027 0.0054
파괴강도(MV/cm) 4.51 1.22 3.76
실시예 4
상업용 다공성 CVD 저 k유전필름을 연속적으로 다음 공정에 적용하여 플라즈마 손상을 부여하였다:
에칭: 40mT, 1400W 160Ar/80 CF4/20 O2 40mT, 1400W, 20s
애싱: 400W/45mT/100sccm O2, 40℃(30초)
강인화제 처리를 3-펜타논에 용해된 27% DMDAS를 사용하여 수행하였다. 강인화제 물질을 스핀 코팅한 후에, 상기 필름을 N2 내에서 1분 동안 각각 125℃, 200 ℃, 및 350℃에서 베이크하였다.
다음 결과를 참고바란다:
측정 예비-에칭&애싱 후-에칭&애싱 후경화제 처리
유전상수(k) 2.36 2.76 2.39
FTIR(CH/SiO 비율) 0.02473 0.0149 0.013
파괴강도(MV/cm) 5.06 3.07 4.77
실시예 5
Sunnyvale, California의 Honeywell International Inc.,으로부터 상업적으로 이용가능한 6000Å NANOGLASS 필름을 125℃, 200℃ 및 350℃의 통상적인 베이크 공정을 사용하여 제조하였다. 통상적인 425℃에서의 로경화(60분) 대신에, 425℃에서의 UV 경화(3분)를 사용하였다. 이들을 연속적으로 다음 공정에 적용하여 플라즈마 손상을 부여하였다:
에칭: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40℃(20초)
애싱: 400W/45mT/100sccm O2, 40℃(30초)
강인화제 처리를 3-펜타논에 용해된 27% DMDAS를 사용하여 수행하였다. 강인화제 물질을 스핀 코팅한 후에, 상기 필름을 N2 내에서 1분동안 각각 125℃, 200℃, 및 350℃에서 베이크하였다. 구리 단일 다마스크 패턴된 구조를 실시예 2에 개시된 통상적인 접근방법을 사용하여 유전물질로서 UV 경화된 NANOGLASS 를 사용하 여 제조하였다. 금속화(PVD 배리어 및 Cu 시드 증착(seed deposition) 및 Cu 전기도금) 이전에, 웨이퍼의 일부분을 강인화제로 코팅하고 최대 350℃로 베이크하였다. 금속화 이후에, 모든 샘플을 200℃에서 50분 어닐링하였다. 집속 이온빔 스캐닝 전자 현미경을 사용하여 공극의 여부를 측정하였다.
다음 결과를 참고바란다:
a. 블랭킷 웨이퍼
측정 예비-에칭&애싱 후-에칭&애싱 후경화제 처리
유전상수(k) 2.1 2.85 2.25
FTIR(CH/SiO 비율) 0.0082 0.0045 0.0075
파괴강도(MV/cm) 5.06 3.07 4.77
b. SLM 패턴된 웨이퍼
실시예 6
Sunnyvale, California의 Honeywell International Inc.,으로부터 상업적으로 이용가능한 6000Å 로 경화된 NANOGLASS 필름에 연속적으로 다음 공정을 적용하여 플라즈마 손상을 유도하였다.
에칭: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40℃(20초)
애싱: (다음 공정 중 택일):
O2 애싱: 400W/45mT/100sccmO2, 40℃(20초 또는 70초)
또는
N2/H2 애싱: 500W/45mT/500sccmN2/125sccm H2 10℃(45초 또는 135초)
강인화제 처리를 2-헵타논에 용해된 9% DMDAS를 사용하여 수행하였다. 강인화제 물질을 스핀 코팅한 후에, 상기 필름을 N2 내에서 1분동안 각각 125℃, 200℃, 및 350℃에서 베이크하였다.
다음 결과를 참고바란다:
애싱 타입 k(강인화제 처리없이) k(강인화제 처리후) k 감소율%
O2, 20s 2.98 2.36 20.8
O2, 30s 3.11 2.42 22.2
O2, 70s 3.53 2.63 25.5
N2/H2 45s 3.04 2.5 17.8
N2/H2, 135s 3.23 2.7 16.4
실시예 7
6000Å 로 경화된 NANOGLASS 필름에 연속적으로 다음 공정을 적용하여 플라즈마 손상을 유도하였다.
에칭: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40℃(20초)
애싱: (다음 공정 중 택일):
강인화제 처리 전에 대기 시간의 영향을 시험하기 위해, 상기 샘플을 1 내지 360시간으로 변화시키는 시간동안 상온 조건에서 유지한 다음, 강인화제 처리를 수 행하였다.
강인화제 처리를 2-헵타논에 용해된 4.5% DMDAS를 사용하여 수행하였다. 강인화제의 스핀 코팅 후에, 필름을 N2 내에서 1분 동안 각각 125℃, 200℃, 및 350℃에서 베이크하였다.
다음 결과를 참고바란다:
Q-시간(hr) k(후강인화제)
1 2.24
3 2.28
27 2.31
72 2.29
240 2.38
360 2.32
실시예 8
Sunnyvale, California의 Honeywell International Inc.,으로부터 상업적으로 이용가능한 6000Å 로 경화된 NANOGLASS 필름에 연속적으로 다음 공정을 적용하여 플라즈마 손상을 유도하였다:
에칭: 1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2, 40℃(20초)
애싱: (다음 공정 중 택일).
강인화제 처리를 2-헵타논에 용해된 18% DMDAS를 사용하여 수행하였다. 강인 화제 물질을 스핀 코팅한 후에, 상기 필름을 핫 플레이트상에서 다양한 온도로 1분동안 베이크하였다. 플라즈마 손상 도중에 손실된 탄소의 양의 퍼센트로 강인화제 처리에 의해 추가된 탄소의 양으로 탄소복원을 측정하였다. 탄소의 양을 CH(2975cm-1 ) 피크 대 SiO 피크(1055 cm-1)의 비율로서 FTIR로 측정하였다.
다음 결과를 참고바란다:
베이크 온도 C 복원율% K-값
75℃ 66 2.95
100℃ 2.82
125℃ 2.74
150℃ 65 2.72
175℃ 136 2.5
200℃ 127 2.34
225℃ 127 2.3
250℃ 121 2.19
300℃ 127 2.17
350℃ 103 2.2
본 발명의 특정한 구현에 대하여 기술한 상기한 바로부터, 다양한 변형, 조절 및 개선은 이 기술분야의 기술자에게 쉽게 이해될 수 있을 것이다. 이러한 변형, 조절 및 개선 모두는 본 발명의 범주에 속하는 것으로 이해된다. 따라서, 상기한 사항은 단지 본 발명을 예시하는 것으로 이로써 본 발명을 제한하는 것은 아니다.

Claims (29)

  1. 유기실리케이트 유리 유전필름을 패턴하여 그 내부에 비아 및 트렌치를 형성한 다음, 이전에 존재하는 탄소 함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전 필름의 소수성을 감소시키는 최소 하나의 처리에 적용하고, 상기 비아 및 트렌치에 금속을 채운 다음, 상기 금속을 어닐링 처리에 적용함에 있어서,
    유기실리케이트 유리 유전필름을 이전에 존재하는 탄소함유 부분을 제거하는 최소 하나의 처리에 적용시킨 후에, 그러나, 비아 및 트렌치가 금속으로 채워지기 전에, 강인화제 조성물과 접촉시켜, 상기 유기실리케이트 유리 유전필름에 탄소함유 부분의 최소 일부분을 복원시키고 상기 유기실리케이트 유리 유전필름의 소수성을 증가시키는 단계를 포함하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  2. 제 1항에 있어서, 이전에 존재하는 탄소함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키는 처리는 에칭제 처리, 애싱제 처리, 습윤 트립핑 처리, 세척 처리 및 PECVD 처리 중 적어도 하나를 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  3. 제 1항에 있어서, 상기 유기실리케이트 유리 유전필름은 스핀-온 유리 물질 또는 화학적 증착된 물질로부터 형성된 것임을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  4. 제 1항에 있어서, 상기 강인화제 조성물은 상기 유기실리케이트 유리 유전필름의 실릴화에 의한 실란올 부분을 알킬화 또는 아릴화하는 성분을 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  5. 제 1항에 있어서, 후속적으로 미반응 강인화제 조성물, 반응 생성물 및 이들의 혼합물을 제거하는 단계를 추가로 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  6. 제 1항에 있어서, 후속적으로 상기 증가된 소수성의 유기실리케이트 유리 유전필름을 가열하는 단계를 추가로 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  7. 제 1항에 있어서, 상기 유기실리케이트 유리 유전 필름은 나노다공성인 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  8. 제 1항에 있어서, 상기 유기실리케이트 유리 유전필름은 실질적으로 비다공성인 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  9. 제 1항에 있어서, 상기 강인화제 조성물은:
    [-SiR2NR'-]n, 이때 n>2이고, 고리형일 수 있으며; R3SiNR'SiR3;
    (R3Si)3N; R3SiNR'2; R2Si(NR')2; RSi(NR')3; RxSiCly; RxSi(OH)y; R3SiOSiR'3; RxSi(OR')y; RxSi(OCOR')y; RxSiHy; RxSi[OC(R')=R"]4-x 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 화학식을 갖는 최소 하나의 화합물을 포함하며, 상기 식에서, x는 1 내지 3의 정수이며, y는 y=4-x가 되도록 하는 1 내지 3의 정수이며, 각각의 R은 수소 및 소수성 유기부분으로부터 독립적으로 선택되며; R'은 수소 또는 유기 부분이며, R"는 알킬 또는 카르보닐기임을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  10. 제 1항에 있어서, 상기 강인화제 조성물은 아세톡시트리메틸실란, 아세톡시실란, 디아세톡시실란, 트리아세톡시실란, 디아세톡시디메틸실란, 메틸트리아세톡시실란, 페닐트리아세톡시실란, 디페닐디아세톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 트리메틸에톡시실란, 메틸트리메톡시실란, 디메틸디메톡시실란, 트리메틸메톡시실란, 메틸트리클로로실란, 디메틸디클로로실란, 트리메틸클로르실란, 메틸실란, 디메틸실란, 트리메틸실란, 헥사메틸디실라잔, 2-트리메틸실옥시펜트-2-엔-4-온, n-(트리메틸실릴)아세트아미드, 2-(트리메틸실릴)아세트산, n-(트리메틸실릴)이미다졸, 트리메틸실릴프로피올레이트, 트리메틸실릴(트리메틸실옥시)-아세테이트, 노나메틸트리실라잔, 헥사메틸디실록산, 트리메틸실란올, 트리에틸실란올, 트리페닐실란올, t-부틸디메틸실란올, 디페닐실란디올, 트리메톡시실란, 트리에톡시실란, 트리클로로실란, 헥사메틸 시클로트리실라잔, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)실란, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 최소 하나의 화합물을 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  11. 제 1항에 있어서, 상기 강인화제 조성물은 디메틸디아세톡시실란을 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  12. 제 1항에 있어서, 상기 강인화제 조성물은 케톤, 에테르, 에스테르, 탄화수소 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 용매를 포함하는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  13. 제 1항에 있어서, 상기 강인화제 조성물은 액체, 증기, 가스 및 플라즈마로 구성되는 그룹으로부터 선택되는 상태로 상기 유기실리케이트 유리 유전필름과 접촉되는 것을 특징으로 하는 기판상의 유기실리케이트 유리 유전필름에 응력-유도 공극형성의 방지방법.
  14. a) 유기실리케이트 유리 유전필름을 기판에 적용하는 단계;
    b) 상기 유기실리케이트 유리 유전필름에 비아 및 트렌치의 패턴을 형성하고, 이전에 존재하는 탄소함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키는 최소 하나의 처리에 상기 유기실리케이트 유리 유전필름을 적용하는 단계;
    c) 상기 유기실리케이트 유리 유전필름을 강인화제 조성물과 접촉시켜, 상기 유기실리케이트 유리 유전필름의 소수성을 증가시키는 단계;
    d) 상기 비아 및 트렌치를 금속으로 채우는 단계; 및
    e) 상기 금속을 어닐링 처리에 적용하는 단계를 포함하는 마이크로 전자장치의 제조방법.
  15. 제 14항에 있어서, 이전에 존재하는 탄소 함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키는 처리는 에칭제 처리, 애싱제 처리, 습윤 스트립핑 처리, 세척 처리 및 PECVD 중 적어도 하나를 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  16. 제 14항에 있어서, 상기 강인화제 조성물은 상기 유기실리케이트 유리 유전필름의 실릴화에 의한 실란올 부분을 알킬화 또는 아릴화하는 성분을 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  17. 제 14항에 있어서, 미반응 강인화제 조성물, 반응 생성물 및 이들의 혼합물을 제거하는 후속적인 단계를 추가로 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  18. 제 14항에 있어서, 상기 증가된 소수성의 유기실리케이트 유리 유전필름을 가열하는 후속적인 단계를 추가로 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  19. 제 14항에 있어서, 상기 유기실리케이트 유리 유전필름은 나노다공성인 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  20. 제 14항에 있어서, 상기 유기실리케이트 유리 유전필름은 실질적으로 비다공성인 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  21. 제 14항에 있어서, 상기 강인화제 조성물은:
    [-SiR2NR'-]n, 이때 n>2이고, 고리형일 수 있으며; R3SiNR'SiR3,
    (R3Si)3N; R3SiNR'2; R2Si(NR')2; RSi(NR')3; RxSiCly, RxSi(OH)y, R3SiOSiR'3, RxSi(OR')y, RxSi(OCOR')y, RxSiHy; RxSi[OC(R')=R"]4-x 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 화학식을 갖는 최소 하나의 화합물을 포함하며, 상기 식에서, x는 1 내지 3의 정수이며, y는 y=4-x가 되도록 하는 1 내지 3의 정수이며, 각각의 R은 수소 및 소수성 유기부분으로부터 독립적으로 선택되며; R'은 수소 또는 유기 부분이며, R"는 알킬 또는 카르보닐기임을 특징으로 하는 마이크로 전자장치의 제조방법.
  22. 제 14항에 있어서, 상기 강인화제 조성물은 아세톡시트리메틸실란, 아세톡시실란, 디아세톡시실란, 트리아세톡시실란, 디아세톡시디메틸실란, 메틸트리아세톡시실란, 페닐트리아세톡시실란, 디페닐디아세톡시실란, 메틸트리에톡시실란, 디메틸디에톡시실란, 트리메틸에톡시실란, 메틸트리메톡시실란, 디메틸디메톡시실란, 트리메틸메톡시실란, 메틸트리클로로실란, 디메틸디클로로실란, 트리메틸클로르실란, 메틸실란, 디메틸실란, 트리메틸실란, 헥사메틸디실라잔, 2-트리메틸실옥시펜트-2-엔-4-온, n-(트리메틸실릴)아세트아미드, 2-(트리메틸실릴)아세트산, n-(트리메틸실릴)이미다졸, 트리메틸실릴프로피올레이트, 트리메틸실릴(트리메틸실옥시)-아세테이트, 노나메틸트리실라잔, 헥사메틸디실록산, 트리메틸실란올, 트리에틸실란올, 트리페닐실란올, t-부틸디메틸실란올, 디페닐실란디올, 트리메톡시실란, 트리에톡시실란, 트리클로로실란, 헥사메틸 시클로트리실라잔, 비스(디메틸아미노)디메틸실란, 비스(디에틸아미노)디메틸실란, 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)실란, 디메틸실릴디포름아미드, 디메틸실릴디아세트아미드, 디메틸실릴디이소시아네이트, 트리메틸실릴트리이소시아네이트, 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 최소 하나의 화합물을 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  23. 제 14항에 있어서, 상기 강인화제 조성물은 디메틸디아세톡시실란을 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  24. 제 14항에 있어서, 상기 강인화제 조성물은 케톤, 에테르, 에스테르, 탄화수소 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 용매를 포함하는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  25. 제 14항에 있어서, 상기 강인화제 조성물은 액체, 증기, 가스 및 플라즈마로 구성되는 그룹으로부터 선택되는 상태로 상기 유기실리케이트 유리 유전필름과 접촉되는 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  26. 제 15항에 있어서, 상기 에칭제는 산소, 불소, 수소, 질소 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 하나 이상의 원자, 이온, 라디칼 또는 이들의 조합을 포함하는 플라즈마인 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  27. 제 15항에 있어서, 상기 에칭제는 아미드, 알콜, 알콜아민, 아민, 트리아민, 산, 염기 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 최소 하나의 작용제를 포함하는 습윤 에칭제인 것을 특징으로 하는 마이크로 전자장치의 제조방법.
  28. 제 14항에 있어서, 이전에 존재하는 탄소 함유 부분의 최소 일부분을 제거하고 소수성을 감소시키는 처리는 에탄올아민, 에틸렌디아민, 트리에틸아민, N,N-디에틸에틸렌디아민, 디에틸렌트리아민, 아민. 에틸렌디아민테트라아세트산; 유기, 아세트산, 포름산, 테트라메틸암모늄 아세테이트, 황산, 인산, 플루오르화 수소산; 암모늄 플루오라이드, 암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드, 하이드록시아민 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 최소 하나의 작용제로 처리되는 것을 포함하며, 이때 상기 조합은 서로 중화되지 않는 작용제임을 특징으로 하는 마이크로 전자장치의 제조방법.
  29. a) 유기 실리케이트 유리 유전필름을 기판에 적용하는 단계;
    b) 상기 유기실리케이트 유리 유전필름에 비아 및 트렌치의 패턴을 형성하고, 이전에 존재하는 탄소함유 부분의 최소 일부분을 제거하고 상기 유기실리케이트 유리 유전필름의 소수성을 감소시키는 최소 하나의 처리에 상기 유기실리케이트 유리 유전필름을 적용하는 단계;
    c) 상기 유기실리케이트 유리 유전필름을 강인화제 조성물과 접촉시켜, 상기 유기실리케이트 유리 유전필름의 소수성을 증가시키는 단계;
    d) 상기 비아 및 트렌치를 금속으로 채우는 단계; 및
    e) 상기 금속을 어닐링 처리에 적용하는 단계를 포함하는 공정에 의해 제조되는 마이크로 전자장치.
KR1020067003765A 2003-10-08 2004-09-24 실릴화제를 이용한 저-k 유전물질로의 손상 보수 KR101064336B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51002403P 2003-10-08 2003-10-08
US60/510,024 2003-10-08
US10/940,682 US7709371B2 (en) 2003-01-25 2004-09-15 Repairing damage to low-k dielectric materials using silylating agents
US10/940,682 2004-09-15

Publications (2)

Publication Number Publication Date
KR20070037562A KR20070037562A (ko) 2007-04-05
KR101064336B1 true KR101064336B1 (ko) 2011-09-16

Family

ID=34555813

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067003765A KR101064336B1 (ko) 2003-10-08 2004-09-24 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Country Status (3)

Country Link
EP (1) EP1676303A2 (ko)
KR (1) KR101064336B1 (ko)
TW (1) TWI358093B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6639732B2 (ja) * 2017-03-27 2020-02-05 株式会社アルバック 電子部品の製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US557624A (en) * 1896-04-07 And charles w
US6479374B1 (en) * 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6518205B1 (en) * 1998-07-07 2003-02-11 Alliedsignal Inc. Multifunctional reagents for the surface modification of nanoporous silica films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US557624A (en) * 1896-04-07 And charles w
US6479374B1 (en) * 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6518205B1 (en) * 1998-07-07 2003-02-11 Alliedsignal Inc. Multifunctional reagents for the surface modification of nanoporous silica films

Also Published As

Publication number Publication date
KR20070037562A (ko) 2007-04-05
TW200531183A (en) 2005-09-16
TWI358093B (en) 2012-02-11
EP1676303A2 (en) 2006-07-05

Similar Documents

Publication Publication Date Title
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) Method for making toughening agent materials
US7678712B2 (en) Vapor phase treatment of dielectric materials
KR100797202B1 (ko) 손상된 실리카 유전 필름에 소수성을 부여하는 방법 및 손상된 실리카 유전 필름 처리 방법
KR100984195B1 (ko) 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
WO2005034194A2 (en) Repairing damage to low-k dielectric materials using silylating agents
JP5161571B2 (ja) 処理剤物質
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
KR20080000538A (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee