TW200531183A - Repairing damage to low-k dielectric materials using silylating agents - Google Patents

Repairing damage to low-k dielectric materials using silylating agents Download PDF

Info

Publication number
TW200531183A
TW200531183A TW093129863A TW93129863A TW200531183A TW 200531183 A TW200531183 A TW 200531183A TW 093129863 A TW093129863 A TW 093129863A TW 93129863 A TW93129863 A TW 93129863A TW 200531183 A TW200531183 A TW 200531183A
Authority
TW
Taiwan
Prior art keywords
dielectric film
organic
glass dielectric
group
silicate glass
Prior art date
Application number
TW093129863A
Other languages
Chinese (zh)
Other versions
TWI358093B (en
Inventor
Anil S Bhanap
Teresa A Ramos
Nancy Iwamoto
Roger Y Leung
Ananth Naman
Original Assignee
Honeywell Int Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,682 external-priority patent/US7709371B2/en
Application filed by Honeywell Int Inc filed Critical Honeywell Int Inc
Publication of TW200531183A publication Critical patent/TW200531183A/en
Application granted granted Critical
Publication of TWI358093B publication Critical patent/TWI358093B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for restoring hydrophobicity to the surfaces of organosilicate glass dielectric films which have been subjected to an etchant or ashing treatment. These films are used as insulating materials in the manufacture of integrated circuits to ensure low and stable dielectric properties in these films. The method deters the formation of stress-induced voids in these films. An organosilicate glass dielectric film is patterned to form vias and trenches by subjecting it to an etchant or ashing reagent in such a way as to remove at least a portion of previously existing carbon containing moieties and reduce hydrophobicity of said organosilicate glass dielectric film. The vias and trenches are thereafter filled with a metal and subjected to an annealing treatment. After the film is subjected to the etchant or ashing reagent, but before being subjected to an annealing treatment, the film is contacted with a toughening agent composition to restore some of the carbon containing moieties and increase the hydrophobicity of the organosilicate glass dielectric film.

Description

200531183 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用於恢復有機矽酸鹽玻璃介電薄膜表 面之疏水性的方法,其中該有機矽酸鹽玻璃介電薄膜以移 除至少一部分先前存在之含碳部分之方式經受蝕刻或灰化 處理,由此引起薄膜具有減小之疏水性。此等薄膜在諸如 積體電路("1C”)之半導體裝置製造中作為絕緣材料使用以 保證此等薄膜中之低介電常數及穩定的介電特性。 【先前技術】 隧著積體電路中器件特徵(feature)尺寸之減小,互連RC 延遲、功率消耗及訊號串擾問題已變得日益難以解決。咸 #用於層間介電(ILD)及金屬間介電(IMD)應用之低介電常 數材料之整合將有助於解決此等問題。儘管先前已作出將 低介電常數材料應用於積體電路的努力,但是在此項技術 中長久以來仍需要在處理方法及該等材料之介電及機械特 性方面進行進-步優化。未來積體電路中之裝置縮放比例 明顯要求使用低介電常數材料作為該互連結構的一部分。 =於亞100 nm世代冗之低介電常數材料之大多數供選二物 是由CVD或旋轉塗布法中之任一種方法形成之含碳叫薄 膜。在隨後的處理步驟(諸如使用電襞或濕式光阻剝離 之電漿蝕刻及光阻移除)過程中,此等低]^材料發生顯著受 損,進而導致鄰近蝕刻表面之低]^材料中的氣加成及自其= 生之碳損耗。除更高有效k值外,該生成之結構易於發生空 隙形成、除氣(outgassing)及浮泡形成。該等空隙反過來可 96350.doc 200531183 導致高壓時泡漏電流的增加及崩潰電壓的減小。本發明描 述了一種藉由在受損發生後用曱矽烷基化試劑處理該等晶 圓從而降低該受損及所生成之問題的方法。200531183 IX. Description of the invention: [Technical field to which the invention belongs] The present invention relates to a method for restoring the hydrophobicity of the surface of an organic silicate glass dielectric film, wherein the organic silicate glass dielectric film is used to remove at least Some of the previously existing carbon-containing moieties were subjected to etching or ashing processes, thereby causing the film to have reduced hydrophobicity. These films are used as insulating materials in the manufacture of semiconductor devices such as " 1C " to ensure low dielectric constant and stable dielectric characteristics in these films. [Prior Art] Tunneling integrated circuits With the reduction in the feature size of the device, interconnect RC delay, power consumption, and signal crosstalk issues have become increasingly difficult to resolve. ## Low for interlayer dielectric (ILD) and intermetal dielectric (IMD) applications The integration of dielectric constant materials will help to solve these problems. Although efforts have been made to apply low dielectric constant materials to integrated circuits, there has long been a need for processing methods and such materials in this technology. The dielectric and mechanical properties are further optimized. The scaling of devices in integrated circuits in the future will obviously require the use of low dielectric constant materials as part of the interconnect structure. = Low dielectric constant redundant at sub-100 nm generations Most alternatives to materials are carbon-containing films formed by any of CVD or spin coating methods. In subsequent processing steps such as the use of electro-wet or wet photoresist In the process of plasma etching and photoresist removal, these low] ^ materials are significantly damaged, which results in the low adjacent to the etched surface] ^ the gas addition in the material and the carbon loss generated from it. In addition to higher effective k values, the resulting structure is susceptible to void formation, outgassing, and blister formation. These voids can in turn lead to an increase in bubble leakage current and a reduction in collapse voltage at high voltages. 96350.doc 200531183 The present invention describes a method of reducing the damage and the problems that arise by treating the wafers with a rhenium silylating agent after the damage has occurred.

據報導:使用非致損灰化化學(諸如H2/He)可減少碳損耗 及相關問題。在此方面,參看I· Berry,A· Shiota,Q. Han,C. Waldfrted,M. Sekiguchi &O.Escorcia,Proceedings-Electrochemical Society,22,202 (2002);及 A. Matsushita,N. Ohashi,K. Inukai,H. J. Shin,S. Sone,K. Sudou,K. Misawa, I. Matsumoto 及 N. Kobayashi , Proceedings of IEEEIt has been reported that the use of non-destructive ashing chemistry (such as H2 / He) can reduce carbon losses and related issues. In this regard, see I. Berry, A. Shiota, Q. Han, C. Waldfrted, M. Sekiguchi & O. Escorcia, Proceedings-Electrochemical Society, 22, 202 (2002); and A. Matsushita, N. Ohashi , K. Inukai, HJ Shin, S. Sone, K. Sudou, K. Misawa, I. Matsumoto and N. Kobayashi, Proceedings of IEEE

International Interconnect Technology Conference,2003, 147 (2003)。 或者,亦已展示:補充碳之灰化後處理可恢復疏水性並 降低該介電常數。亦展示:補充碳之灰化後處理可恢復疏 水性並降低介電常數。在此方面,參看Y. S. Mor,T. C. Chang, P. T. Liu? Τ. Μ. Tsai, C. W. Chen? S. T. Yan, C. J. Chu5 W. F. Wu,F. M. Pan,W. Lur及 S. M. Sze,Journal of Vacuum Science & Technology,B,2 (4),1334 (2002);及 P. G. Clark, B. D. Schwab 及 J. W. Butterbaugh , Semiconductor International,26 (9),46 (2003)。後一途徑之一優點為其允 許使用相當完善(〜611^81&1)1丨81^(1)之#刻及灰化方法。為此 目的,需要使用灰化後處理來修補導致多孔SiCOH基低k材 料之受損。該處理可引起碳得以補充至低k薄膜,藉此恢復 疏水性及在濕式清洗操作過程中對進一步受損的抵抗力。 此外,若發現該經修補之低k材料對空隙形成(該空隙形成 96350.doc 200531183 通常發生於在銅退火處理過程中未經處理之多孔低^層間 介電區域中)具有抵抗力,則該灰化後處理是合乎需要的。 甲矽烷基化試劑(”增韌劑”)可甲基化Si〇2基材料之表面。所 涵蓋之曝露包括氣相曝露(具有或無電漿)、旋轉塗層及超臨 界C〇2。通常,在Cu鑲嵌處理中Sic〇H基多孔低以才料易於 發生ILD中之空隙形成。在增韌劑處理後,所生成之結構顯 著地對空隙形成更具抵抗力。不受任一特定理論或機制之 約束,咸信電漿致損係藉由用Si-OH鍵置換Si-CH3鍵而導致 介電質中之碳損耗。在受損多孔介電質中,現在以Si_〇H鍵泰 覆蓋該孔表面。於張應力(tensiie stress)(諸如Cu退火後)存 在下,相鄰Si_OH基團可縮合,由此導致局部緻密化。演化 (evolving)反應產物及歸因於所形成之新鏈的分子拉伸 (stretching)導致空隙發生在ILD空間中心附近。增韌劑藉由 用Si-0-Si-Rn鍵置換大多數Si_0H鍵(此舉避免了縮合反應) 而防止空隙的形成。由此空隙之形成不會發生。 "電渠溝及通道形成及姓刻及灰化步驟後執行之韌化處 理修補低k材料之碳損耗及受損。藉此手段阻止了空隙,且 該等低k材料能承受住對填充渠溝及通道之金屬之退火處 理所導致的内應力。 韌化處理係藉由將晶圓表面曝露於液態或氣態形式之曱 矽烷基化試劑一段足以完成與受損區域之反應的時間 來進行。視需要,可執行高溫烘焙移除殘留溶劑及過量增 韌劑。在應用增韌劑後或在烘焙步驟後亦可立即視情況使 用市售之與低k介電相容之化學製品來執行濕式清洗操 96350.doc 200531183 :處::有::_處理之前可執行脫水— 可使用未圖案化低k介電薄膜來經受蝕刻及灰化處理,而 後進打增韌劑處理,藉此來驗證該增韌劑處理之有效性。 成功之增韌劑處理引起碳濃度之增加,該碳濃度可藉由 FTIR、EDX或XPS技術來量測。另外可看到水接觸角之增 加丄其證明了該處理後之表面之疏水性f。經增動劑處理 之薄膜與未經增㈣!處理之㈣/灰化薄膜相比較亦展示 -提:自C々量測值之低介電常數。在圖案化晶圓中,電鍍 銅後藉由在銅退火處理後減少或消除Cu渠溝間之狹窄空間 中之低k介電質中之空隙來證明該增動劑處理之有效性,且 亦可糟由將其曝露於反應性溶劑後渠溝或通道中之較低之 概況改變來證明其有效性。 【發明内容】 “明提供-種用於阻止一基板上之有機石夕酉复鹽玻璃介 電薄膜中之應力引發空隙形成之方法,該有機料鹽玻璃 介電薄膜已經圖案化以於其中形成通道及渠溝,且該有機 璃介電薄膜隨後經受至少—種目的在於移除至少 —π刀先則存在之含碳部分並減小該有機矽酸鹽玻璃介電 =膜之疏水性的處理,且隨後用一種金屬填充該等通道及 木溝且接著該金屬隨後經受退火處理,該方法包含在經 受^少一種蝕刻劑或灰化試劑之後,但在用一種金屬填充 違:通道及帛溝之冑,將該有機石夕酸鹽玻璃彳㈣膜與— 定漢度之增動劑組合物接觸且該接觸所歷經之一段時間應 96350.doc 200531183 能有效地將至少一些含碳部分恢復至有機石夕酸鹽玻璃介電 薄膜並增加該有機矽酸鹽玻璃介電薄膜之疏水性。 本發明亦提供一種用於形成微電子裝置之方法,其包含: Μ將一有機矽酸鹽玻璃介電薄膜塗布於一基板上; b)在該有機碎酸鹽玻璃介電薄膜中形成通道及渠溝之圖 案’且使該有機石夕酸鹽玻璃介電薄膜經受至少一種International Interconnect Technology Conference, 2003, 147 (2003). Alternatively, it has also been shown that ashing post-treatment with carbon supplementation can restore hydrophobicity and reduce the dielectric constant. It was also shown that the ashing treatment after carbon supplementation can restore water repellency and reduce the dielectric constant. In this regard, see YS Mor, TC Chang, PT Liu? T. M. Tsai, CW Chen? ST Yan, CJ Chu5 WF Wu, FM Pan, W. Lur and SM Sze, Journal of Vacuum Science & Technology, B , 2 (4), 1334 (2002); and PG Clark, BD Schwab and JW Butterbaugh, Semiconductor International, 26 (9), 46 (2003). One advantage of the latter approach is that it allows the use of fairly complete (~ 611 ^ 81 & 1) 1 丨 81 ^ (1) #etching and ashing methods. For this purpose, an ashing treatment is required to repair the damage that caused the porous SiCOH-based low-k materials. This treatment causes carbon to be replenished to the low-k film, thereby restoring hydrophobicity and resistance to further damage during wet cleaning operations. In addition, if the repaired low-k material is found to be resistant to void formation (the void formation 96350.doc 200531183 usually occurs in untreated porous low-layer interlayer dielectric regions during copper annealing), then the Post-ashing is desirable. Silylating agents ("toughening agents") can methylate the surface of SiO2-based materials. Covered exposures include gas phase exposures (with or without plasma), spin coatings, and supercritical CO2. Generally, SiCoH-based porosity is low in the Cu damascene process so that void formation in ILD is likely to occur. After the toughening agent treatment, the resulting structure is significantly more resistant to void formation. Without being bound by any particular theory or mechanism, Xianxin Plasma damage is caused by carbon loss in the dielectric by replacing the Si-CH3 bond with a Si-OH bond. In the damaged porous dielectric, the pore surface is now covered with Si_OH bond. In the presence of tensile stress (such as after Cu annealing), adjacent Si_OH groups can condense, thereby causing local densification. Evolving reaction products and molecular stretching due to the new chains formed cause voids to occur near the center of the ILD space. The toughener prevents the formation of voids by replacing most Si_0H bonds with Si-0-Si-Rn bonds, which avoids condensation reactions. As a result, the formation of voids does not occur. " Toughening treatment performed after the formation of electrical trenches and channels, and after the engraving and ashing steps, repairs the carbon loss and damage of low-k materials. This method prevents voids, and these low-k materials can withstand the internal stress caused by the annealing treatment of the metal filling the trenches and channels. Toughening is performed by exposing the surface of the wafer to a liquid or gaseous form of 曱 silylating agent for a time sufficient to complete the reaction with the damaged area. If necessary, high temperature baking can be performed to remove residual solvents and excess toughener. After applying the toughening agent or immediately after the baking step, optionally use commercially available low-k dielectric compatible chemicals to perform wet cleaning operations. 96350.doc 200531183: where :: have :: _ before processing Dehydration can be performed—An unpatterned low-k dielectric film can be used to withstand etching and ashing, followed by a toughener treatment to verify the effectiveness of the toughener treatment. Successful toughener treatment results in an increase in carbon concentration, which can be measured by FTIR, EDX or XPS technology. In addition, an increase in the water contact angle can be seen, which proves the hydrophobicity f of the treated surface. The film treated with the accelerator is also shown in comparison with the film that has not been treated with rhenium! The ash / ashing film treated with-has a low dielectric constant measured from C々. In patterned wafers, the effectiveness of the accelerator treatment was demonstrated after electroplating copper by reducing or eliminating voids in the low-k dielectric in the narrow spaces between Cu trenches after copper annealing. Its effectiveness can be demonstrated by a lower profile change in the trench or channel after exposure to a reactive solvent. [Summary of the Invention] "Provided by Ming-A method for preventing stress-induced void formation in an organic stone salt-on-salt glass dielectric film on a substrate, which has been patterned to form therein Channels and trenches, and the plexiglass dielectric film is then subjected to at least-a purpose of removing at least-the carbon-containing portion that is present first and reducing the organic silicate glass dielectric = film hydrophobicity And then filling the channels and trenches with a metal and then subjecting the metal to an annealing treatment, the method includes after undergoing at least one etchant or ashing agent, but filling the trenches with a metal: the channels and trenches In other words, contacting the organic petrolate glass film with the activator composition of Dinghandu and the contact time period should be 96350.doc 200531183 can effectively restore at least some of the carbon-containing portion to Organic silicate glass dielectric film and increase the hydrophobicity of the organic silicate glass dielectric film. The present invention also provides a method for forming a microelectronic device, comprising: Organic silicate glass dielectric film coating on a substrate; b) forming the channel pattern and the trench in the organic acid salt of broken glass dielectric films' stone and that the organic acid Xi glass dielectric film is subjected to at least one

於移除至少一部分先前存在H 牡爻3杈部分並減小該有機矽酸 鹽玻璃介電薄膜之疏水性的處理; C)將該有機矽酸鹽玻璃介電薄 〜、曲— 电,寻膜與一定濃度之增韌劑組 合物接觸且該接觸所歷經之一段時 权4間應能有效增加該有機 矽酸鹽玻璃介電薄膜之疏水性; d) 用一種金屬填充該等通道及渠溝;及 e) 使該金屬經受退火處理。 本發明提供一種由包含以下步驟 • 卜〆驟之方法產製之微電子裝 置: a) 將一有機矽酸鹽玻璃介電薄膜塗布於一基板上; b) 在該有機㈣鹽玻璃介電薄膜中形成通道及渠溝之圖 案,且使該有機矽酸鹽玻璃介電 电辟胰經又至少一種目的在 於移除至少一部分先前存在之含妒 ,,, 3反°卩分並減小該有機矽酸 鹽玻璃介電薄膜之疏水性的處理; 0將該有機矽酸鹽玻璃介電薄臈與一 疋/辰度之增初劑組 合物接觸且該接觸所歷經之一段卑 权吟間應能有效增加該有機 矽酸鹽玻璃介電薄膜之疏水性; 低 d)用一種金屬填充該等通道及渠溝,·及 96350.doc -10- 200531183 e)使該金屬經受退火處理。 【實施方式】 在本發明之情形中,因為具有通常低於3的低介電常數之 "電材料通¥允卉更快之訊號傳播、降低電容效應及導線 間之串擾、並降低驅動積體電路之電壓,所以其係尤其合 乎而要的 具有低介電常數之材料是可作為發泡介電 材料來應用之二氧切。為了儘可能獲得最低之介電值, 將空氣引人二氧切介電材料中。空氣具有介電常數1,且 當將空㈣人奈米纽或奈米級絲構料之二氧化石夕介 電材料中4便達成了相對低的介電常數("k")。應瞭解:當 採用術語”二氧化石夕"時,除非特別提及官能基"S i 〇 2 ",否則 如本文所使用之術語”二氧切,,(舉例 多孔介電薄膜)係意欲指代藉由本發明之方法自一有 無機玻璃基礎材料(例如,任—含有—或多财基介電前驅 體之t適起始材料)而製備之介電薄膜。亦應瞭解:本文中 使用單數術語並非意欲受此限制,而在適當之情況下亦包 二複數’例如’本發明之例示性方法可描述為塗布於並產 、溥膜,但吾人意欲可藉由所描述、例示及所申請專 :之方法按需要產製多個薄膜。如本文中所使用之涉及二 氧化矽介電材料之術語"薄膜"意欲包涵該等二氧化矽介電 視h况經採用之任_合適形式或形狀。因為奈米多孔 P虱化矽採用類似之前驅體,該等前驅體包括如四甲氧基 2 (一 TMOS")及/或四乙氧基石夕烧(,,TE〇s")之有機經取代 、元(/、係用於當釗採用之旋塗式玻璃(”s〇G”)及化學氣 96350.doc 200531183 相沉積("CVD”)二氧化矽以山),因此奈米多孔二氧化矽頗 具吸引力。如本文中所使用之術語"空隙,,及”孔”意謂其中物 質由氣體所置換或其中產生一真空之自由容積。該氣體之 組成通常並非關鐽性的,且適當之氣體包括相對純的氣體 及其混合物(包括空氣)。該奈米多孔聚合物可包含複數個 孔。孔通常為球形,但可替代性地或另外具有任一合適形 狀,包括管狀、層狀、盤狀或其他形狀。該等孔可均一地 或隨機地分散在該多孔聚合物内。亦涵蓋該等孔可具有任 一適當直徑。進一步涵蓋至少一些孔可與相鄰孔連接以建 置一具有顯著量之經連接或,,開放”孔隙度之結構。 奈米多孔二氧化矽薄膜先前已藉由許多方法來製造。適 當矽基前驅體組合物及用於形成奈米多孔二氧化矽介電薄 膜之方法係(例如)藉由如下共同擁有之美國專利案第 6,048,804號、第 6,022,812號、第 6,41〇,149號、第 6,372,666 唬、第 6,509,259號、第 6,218,497號、第 6,143,855 號、第 6,037,275號、第 6,042,994號、第 6,048,804號、第 0,090,448 唬、第 6,126,733 號、第 6,140,254號、第 6,204,202號、第 6,208,041號、第6,318,124號及第6,3 19,855號來描述,該等 文獻均以引用方式倂入本文中。 其他介電質及低介電材料包含基於無機物之化合物,諸 如共同讓渡申請中之2002年2月19曰申請之美國專利申請 案弟10/07891 9號中揭示之石夕基化合物;(舉例而言,可自A process for removing at least a part of the previously existing H 3 part and reducing the hydrophobicity of the organic silicate glass dielectric film; C) the organic silicate glass dielectric film When the film is in contact with a certain concentration of the toughener composition and the contact goes through a period of time, the weight of the four layers should be effective to increase the hydrophobicity of the organic silicate glass dielectric film; d) filling the channels and channels with a metal Trenches; and e) subjecting the metal to an annealing treatment. The present invention provides a microelectronic device produced by a method including the following steps: a) coating an organic silicate glass dielectric film on a substrate; b) applying the organic silicate glass dielectric film on the substrate; To form a pattern of channels and trenches, and to make the organic silicate glass dielectric dielectric panic meridian at least one other purpose is to remove at least a part of the pre-existing jealousy, and reduce the organic Hydrophobic treatment of silicate glass dielectric film; 0 The organic silicate glass dielectric film is brought into contact with a sintering agent / sphericity initiator composition, and a part of this contact should be able to pass through Effectively increase the hydrophobicity of the organic silicate glass dielectric film; low d) fill the channels and trenches with a metal, and 96350.doc -10- 200531183 e) subject the metal to an annealing treatment. [Embodiment] In the case of the present invention, because " electrical materials " permits faster signal propagation with a low dielectric constant generally lower than 3, reduces capacitance effects and crosstalk between conductors, and reduces drive product The voltage of the bulk circuit, so it is a particularly desirable material with a low dielectric constant is a dioxygen that can be used as a foamed dielectric material. In order to obtain the lowest possible dielectric value, air is introduced into the dioxin dielectric material. Air has a dielectric constant of 1, and a relatively low dielectric constant (" k ") is achieved when 4 is used in a diatomite dielectric material of nano-nano or nano-grade silk materials. It should be understood that when the term "stone dioxide" is used, unless the functional group "S i 02" is specifically mentioned, the term "dioxygen" as used herein, (for example, a porous dielectric film) It is intended to refer to a dielectric film prepared by the method of the present invention from an inorganic glass base material (e.g., a t-starting material containing any or multiple-based dielectric precursors). It should also be understood that the use of the singular terminology herein is not intended to be limited to this, and includes the plural and plural, where appropriate, for example, the exemplary method of the present invention can be described as being applied to a conjunctival, diaphragm, but I would like to borrow Produce multiple films as required by the methods described, exemplified, and applied for. As used herein, the term " film " relating to silicon dioxide dielectric material is intended to encompass any suitable form or shape of the silicon dioxide dielectric depending on the situation. Because nanoporous silica is similar to precursors, such precursors include organic processes such as tetramethoxy 2 (a TMOS ") and / or tetraethoxy stone yaki (,, TE0s "). Instead of, Yuan (/, is used for the spin-on glass ("s〇G") and chemical gas 96350.doc 200531183 phase deposition (" CVD ") silica used in Dangzhao), so nanometer porous Silicon dioxide is attractive. The terms " void, " as used herein, mean a substance in which a substance is replaced by a gas or a free volume in which a vacuum is created. The composition of the gas is usually not critical And suitable gases include relatively pure gases and mixtures thereof (including air). The nanoporous polymer may include a plurality of pores. The pores are generally spherical, but may alternatively or additionally have any suitable shape, including a tube , Layered, disc-like, or other shapes. The pores may be uniformly or randomly dispersed within the porous polymer. It is also contemplated that the pores may have any suitable diameter. It is further encompassed that at least some of the pores may be connected to adjacent pores Build one There was a significant amount of connected or via ,, open "architecture of the porosity. Nanoporous silicon dioxide films have been previously manufactured by many methods. Suitable silicon-based precursor compositions and methods for forming nanoporous silicon dioxide dielectric thin films are, for example, by commonly-owned U.S. Patent Nos. 6,048,804, 6,022,812, 6,41,149 No. 6,372,666, No. 6,509,259, No. 6,218,497, No. 6,143,855, No. 6,037,275, No. 6,042,994, No. 6,048,804, No. 0,090,448, No. 6,126,733, No. 6,140,254, Nos. 6,204,202, 6,208,041, 6,318,124, and 6,3 19,855 are described, all of which are incorporated herein by reference. Other dielectrics and low-dielectric materials include inorganic-based compounds, such as the Shixian compounds disclosed in US Patent Application No. 10/07891 9 filed on February 19, 2002 in the Common Assignment Application; (Examples) In terms of

Honeywell International lnc·購得之 nAN〇gLASS® 及 HOSP®產品)。可藉由將該材料旋轉塗布於表面上、將該材 96350.doc -12- 200531183 料浸潰塗布、噴霧塗布、化學氣相沉積((:¥]3)、輥塗於表面 上、將該材料滴布於表面上及/或將材料塗敷於表面上來塗 布該等介電質及低介電材料。適用於本發明之介電質包括 CVD沉積材料,諸如摻碳氧化物,例如可自a卯_ Matenals,lnc·購得之Black Diam〇nd、可自⑽⑷训購得之 C〇ral、可自ASM購得之Aurora及可自Trik〇n購得之〇ri〇n。 如本文中所使用之短語”旋轉塗布材料”、,,旋轉塗布有機 材料旋轉塗布組合物”及,,旋轉塗布無機組合物,,可互換 使用且其私代彼專可使用旋轉塗布之塗布方法旋轉塗布 於一基板或表面之溶液及組合物。矽基化合物之實例包含 矽氧烷化合物,諸如甲基矽氧烷、甲基倍半矽氧烷、苯基 矽氧烷、苯基倍半矽氧烷、曱基苯基矽氧烷、甲基苯基倍 半矽氧烷、矽氮烷聚合物、矽酸鹽聚合物及其混合物。涵 蓋之矽氮烷聚合物為全氫化矽氮烷,其具有一可連接發色 團之透明’’聚合物主鏈。旋塗式玻璃材料亦包括石夕氧烧聚 合物及嵌斷聚合物、通式(H^.GSiOmA之氫矽氧烷聚合 物及具有式(HSiOK5)x之氫倍半石夕氧烧聚合物,其中X大於約 4。亦包括氳倍半矽氧烷與烷氧基氫化矽氧烷 (alkoxyhydridosiloxane)或羥基氫化矽氧烷之共聚物。旋塗 式玻璃材料另外包括通式QSi〇i 5 2 之有機氫化石夕氧烧聚合物及通式(HSiO! ^(RSiO! 5)m之有 機氫化倍半石夕氧烧聚合物,其中m大於零且^與㈤之總和大 於4,且R為烧基或芳基。一些適用之有機氫化石夕氧烧聚合 物具有自約4至約5000的11與111之總和,其中r係Cl_c2G垸基 96350.doc -13- 200531183 或c^-c】2芳基。該等有機氫化矽氧烷及有機氫化倍半矽氧烷 聚合物係替代性指出之旋塗式聚合物。一些特定實例包括 基氫化矽氧烷,諸如:甲基氫化矽氧烷、乙基氫化矽氧 烷、丙基氫化矽氧烷、第三丁基氫化矽氧烷、苯基氫化矽 氧烷,及烷基氫化倍半矽氧烷,諸如:甲基氫化倍半矽氧 L、乙基氫化倍半石夕氧烧、丙基氫化倍半石夕氧烧、第三丁 基氫化倍半矽氧烷、苯基氫化倍半矽氧烷,及其組合。在 以下所頒准之專利案及申請中之申請案中描述了若干所涵 蓋之旋轉塗布材料:美國專利案第6,5〇6,497號、第6,365,765 號、第 6,268,457號、第 6,177,199號、第 6,358,559號、第 6,218,020號、第 6,361,820號、第 6,218,497號、第 6,359,099 號、第6,143,855號、第6,512,071號、2001年11月10日申請 之美國專利申請案第10/001143號、2〇〇〇年6月8日申請之 PCT/US00/15772、及 1999 年 1 月 7 日申請之 pCT/us〇〇/ 00523,其全文以引用之方式倂入本文中。 可將有機氫化矽氧烷及有機矽氧烷樹脂溶液用於形成籠 狀石夕氧烧聚合物薄膜,其適用於製造各種電子裝置、微電 子裝置、尤其半導體積體電路及用於電子及半導體組件之 各種疊層材料,其包括硬質罩幕層、介電層、蝕刻止擋層 及包埋钱刻止擒層。此等有機氫化石夕氧烧樹脂層與其他可 用於疊層材料及裝置之材料係相容的,諸如基於金剛烷之 化合物、基於雙金剛烷之化合物、矽核化合物、有機介電 貝及奈求多孔介電質。在以下專利案中揭示了本文中所涵 蓋之與有機氫化矽氧烷樹脂層相當兼容之化合物:美國專 96350.doc -14- 200531183 利案第 6,214,746號、第 6,171,687號、第 6,172,128號、第 6,156,812號,2002年1月15曰申請之美國申請案第 60/350 187號、美國專利申請案第09/538276號、美國專利申 請案第09/544504號、美國專利申讀案第09/58785 1號,及 2002年1月8日申請之U.S· 60/347195,2001年10月17日申請 之PCT申請案PCT/US01/32569、2001年12月31日申請之PCT 申請案PCT/US01/50812,該等專利皆以引用之方式倂入本 文中。 本文中所使用之合適有機氫化矽氧烷樹脂具有以下通 式: [H-Si^UR-SiO^k 式⑴ [H〇.5-Si1.5.i.8]n[R〇.5.i.〇-Si01.5.1<8]m 式(2) [Ho-Ko-SUJR-SiOidm 式(3) [H-Si15]x[R.Si01.5]y[Si02]z 式⑷ 其中: :η與m之和或者X、y與z之和為約8至約5〇〇〇,且選擇㈤或丫 以使含碳組份以小於約4〇%(低碳有機含量=L〇sp)之量存 在或以大於約40%(高碳有機含量=11〇81>)之量存在;R係選 自經取代及未經取代、正鏈及支鏈烷基(甲基、乙基、丁基、 丙基、戊基)、烯基(乙稀基、烯丙基、異丙烯基卜環烷基、 環稀基、芳基(苯基、苯曱基、蔡基、葱基及菲基)及其混合 物;且其中含碳取代基之特定莫耳百分比係起始材料量之 比率之函數。在一些驗實施例中,藉由莫耳百分比係介 方、、勺1 5莫耳百分比至約25莫耳百分比之範圍内之含碳取代 96350.doc 200531183 基知到尤其有利之結果。在—些H〇sp實施例中,藉由莫耳 百分比係介於約55莫耳百分比至約75莫耳百分比之範圍内 之含碳取代基得到有利之結果。 介電常數介於約1.5至約4之範圍内之奈米多孔二氧化石夕 介電薄膜亦可作為各層中之—層使用。敷設(layd叫奈米 多孔二氧化矽薄膜作為矽基前驅體,在水之存在下將其陳 化或縮合’並充分加熱以完全移除所有成孔分子並在薄膜 中形成空隙。該矽基前驅體組合物包含具有式之單 體或預聚合物,《中R係獨立選自烷基、芳基、氫及其組合, L係-個電負性部分,諸如烷氧基、羧基、胺基、醯胺:、 函化物、異氰酸根及其組合,χ係介於〇至約2範圍内^整 數,且y係介於約〇至約4範圍内之整數。可在以下專利案中 發現其他奈米多孔化合物及方法:美國專利案第Μ” 687 號、第 6^,^號、第 6,214,746號、第 6,3i3,i85號:第 6,380’347號及第6,38〇,27()號,其均以引用之方式倂入本文 中。 紐語"籠狀結構"、”籠狀分子,,及"籠狀化合物"意欲可互換 使用,且指代具有至少1()個原子之分子,丨中該等原子係 排列以使至少-個原子橋共價連接環系統之兩或兩個以上 原子換σ之,籠狀結構、籠狀分子或籠狀化合物包含複 數個由共價結合之原子形成之環,其中,該結構、分子或 化合物界定一容積,以使得以該體積來定位之點在不穿越 該環之情況下不會脫離該容積。該原子橋及/或該環系統可 包含-或多個雜原且其可為芳族的、部分飽和的或不 96350.doc -16- 200531183 飽:的。進—步涵蓋之蘢狀結構包括球殼狀碳分子及具有 夕個原子橋之越醚。舉例而言,將金剛烷或二金剛烷 視為-蘢狀結構’而因為萘化合物或芳族螺化合物不具有 或夕個原子橋’所以在此定義之範疇下,並不將萘化合 物或芳族螺化合物視為籠狀結構。 所涵蓋之龍狀化合物並不一定限於僅包含碳原子,而亦 可包括諸如N、s、〇、P等之雜原子。雜原子可有利地引入 非四邊形鍵角組態。關於所涵蓋之籠狀化合物之取代基及 衍^作用,應承認許多取代基及衍生作用係適當的。舉例 而言’當該籠狀化合㈣相對疏水性時’可引人親水性取 代基,增加溶於親水性溶劑中之溶解性,或反之亦然”戈 者’若需要極性,則可將極性侧鏈基團加至該籠狀化合物。 進一步涵蓋適當取代基亦可包括熱不穩定性基團、親核性 及親電子基團。亦應瞭解,可在該籠狀化合物中利用官能 基(例如,以促進交聯反應、衍生反應等)。 如本文中料細描述之籠狀分子或化合物亦可為連接至 聚合物主鏈之基團,且因此能形成如下奈米多孔材料:苴 中籠狀化合物形成一類空隙(分子内部的之),且其中至少一 部分主鏈與其本身或另-主鏈交聯以能形成另—類型之空 隙(分子間的)。在2〇01年10月18日申請^ct/us〇i⑽ς 中詳細描述了另外的籠狀分子、籠狀化合物及此等分子及 化合物之變體’該文獻之全文係以引用之方式^本文 中。所涵蓋之聚合物亦可包含廣泛範圍之官能或結構部 分,其包括芳族系統及函化基團。此外,適當之聚合物可 96350.doc -17- 200531183 具有許多組態,包括均聚物及雜 ^ ^ 1 K物。而且,替代聚合物 — 鍵、超支鏈或三維。所涵 盍之辜合物之分子量橫跨一廣泛範 视固·通书介於400道爾頓 與400000道爾頓或更多之間。 7 \合物技術中所習知,亦 可使用添加劑來增強或賦予特定特 匕括%、疋劑、阻燃 劑、顏料、增塑劑、界面活性劑、 及其類似物。可摻合相 容或不相容聚合物於其中來得到所需特性。亦可使用黏著 力促進劑。六甲基4μ代表料促進劑,其可用來盘 曝露於潮氣或濕度之表面(諸如二氧切)上可出現之可利 用之經基官能基結合。詩微電子應用、特定言之用於介 電層間之聚合物須要含有低離子雜質含量(通常小於i ppm ’較佳小於1 〇 ppb)。 只要所得溶液可塗布於基板、表面、晶圓或疊層材料, 本文中所描述之該等材料、前驅體及層可且以許多方式設 計為以任一合適溶劑將其溶劑化或溶解。典型溶劑亦為彼 等能將該等單體、同分異構單體混合物及聚合物溶劑化之 溶劑。所涵蓋之溶劑包括任一合適之純有機或無機分子或 其混合物’該等分子在諸如臨界溫度之所需溫度下揮發且 其促進上述任一設計目標或需要之實現。該溶劑亦可包含 任一合適之單極性及非極性化合物或其混合物。如本文中 所使用,術語”極性”意謂一分子或化合物之在該分子或化 合物之一點或沿著該分子或化合物而建置不均等電荷、部 分電荷或自發電荷分佈之特徵。如本文中所使用,術語”非 極性π意謂一分子或化合物之在該分子或化合物之一點或 96350.doc -18- 200531183 沿著該分子或化合物而建置不均等電荷、部分電荷或自發 電荷分佈之特徵。在-些所涵蓋實施例中,溶劑或溶劑: 合物(包含至少兩種溶劑)包含彼等視為烴族溶劑之一部分 之溶劑。烴溶劑係彼等包含碳及氫之溶劑。應瞭解·· 1 = 數烴溶劑係非極性;然而,少數烴溶劑可視為極性的。烴 溶劑通常細分為三類:脂族、環狀及芳族。脂族煙溶劑可 包含直鏈化合物及支鏈且可能為交聯化合物兩者,然而, 脂族烴溶劑不視為環狀的。環狀烴溶劑係彼等具有類似於 脂族烴溶劑之特㈣包含至少三個定向纟一環結構内之碳 原子之溶劑。芳族烴溶劑係彼等通常包含三個或三個以上 不飽和鍵之溶劑,芳族烴溶劑具有單環或為共用鍵所連接 =多環及/或融合在一起之多環。所涵蓋之烴溶劑包括甲 本、二甲苯、對·二曱苯、間_二甲苯、以义三甲基苯、石 腦油Η溶劑、石腦油a溶劑、烷,諸如戊烷、己烷、異己烷、 庚烷、壬燒、辛烷,十二烷、2_甲基丁烷、十六烷、十三 少兀十五烷、ί衣戊烷、2,2,心三甲基戊烷、石油醚、鹵化烴, 諸如氣化烴、硝化烴、苯、丨,'二甲基苯、丨’2,4 —三甲基苯、 礦油精、煤油、異丁基苯、甲基萘、乙基甲苯、‘石油 (ligr01ne)。特別涵蓋之溶劑包括但不限於戊烧、己燒、庚 烷、環己烷、[甲苯、二甲苯及其混合物或組合。 $其他所涵蓋之實施例中,該溶劑或溶劑混合物可包含 彼等不視為溶劑族之化合物之一部分之溶劑,諸如:酮, 士丙_ 3_戊S同、二乙基酮、曱基乙基酮及其類似物,醇、 5 " 趑及胺。在其他所涵蓋之實施例中,該溶劑或溶 96350.doc 19 200531183 劑=物可包含本文所提及中之任意溶劑之組合。 ?二實施例中’該溶劑包含水、乙醇、丙醇、丙酮、 及苯甲喊。 “綱、丁内酿、甲基乙基酮 又:二步涵蓋:替代之低介電常數材料亦可包含另外組 1例而言’當低介電常數材料曝露於機械應力時,可 面二“咖其他保護試劑。在將介電材料置於一光滑表 面之其他情況下,可有利搡用 ’知用黏者力促進劑。又在其他情 況二可能須加入清潔劑或消泡劑。—般地,將(例如)包括 7 可移除溶劑之旋塗式破璃組合物形式之前驅體塗 布於;:基板,且接著將其聚合並使其以形成-包含奈米級 孔之介電薄膜之方式經受溶劑移除。 A當形成該等奈米多孔薄膜時(例如其中藉由旋轉塗布將 則驅體塗布於-基板),在初步加熱步驟過程中,該薄膜塗 層通常由酸或鹼催化劑及水催化以導致聚合作用/凝膠化 (_陳化若需要’該薄膜接著經硬化(例如藉由將該薄膜 經文一或多個高溫加熱步驟),藉以(除了別的目的之外)移 除任一殘留溶劑並完成該聚合作用過程。其他硬化方法包 括使該薄膜經受輻射能,例如紫外線、電子束、微波能及 其類似物。 共同擁有之美國專利案第6,204,202號及第6,413,882號係 、引用之方式併入本文中’其提供石夕基前驅體組合物及用 =藉由降解或蒸發該前驅體組合物中之一或多種聚合物或 寡聚物而形成奈米多孔二氧化石夕介電薄膜的方法。共同擁 96350.doc -20- 200531183 有之美國專利安 *弟6,495,479號提供矽基前驅體組合物及用 於It由降解或基路#二 飞為兔该刚驅體組合物中之一或多種化合物或 聚合物而形成冬 (— 丁、木夕孔二氧化矽介電薄膜的方法。美國專 π案第5,895,263 5虎描述藉由塗布包含可分解聚合物及有機 氧化石夕(有機聚二氧化石夕,亦即包括經縮合或經聚合之 ^ 口物)之組合物’加熱該組合物以進—步縮合該聚二氧 夕並π解§亥可分解聚合物以形成多孔介電層,從而在 —基板(例如,晶圓)上形成奈米多孔二氧化矽介電薄膜。 ▲用於將前驅體塗布於—基板、陳化、硬化、平坦化並使 该(等)薄膜具有疏水性之方法係由(舉例而言)共同擁有之 杲國專利案第6,589,889號及第6,〇37,275號作出描述(別的 專利案亦對此有所涉及)。本文所涵蓋之基板及晶圓可包含 任-所需之大體上固體材料"寺定所需基板層應包含薄 膜、玻璃、陶竟、塑膠、金屬或經塗布之金屬、或複合材 ;斗在車又佳Λ把例中,該基板包含石夕或石申化錯晶粒或晶圓 ^面、如在鍍銅、鍍銀、鍍鎳或鍍金引線框中所發現之封 裝表面、如在電路板或封裝互連跡線、通道壁或硬化劑界 面中所發現之銅表面(所考慮之,,銅"包括裸銅及其氧化 物)、,在基於聚醯亞胺之撓性封裝中所發現之基於聚合物 之封裝或板界面、錯或其他金屬合金焊料球狀表面、玻璃 及諸如聚酿亞胺之聚合物。當視為黏著性(cohesive)界面 :’甚至可將”基板"定義為另一聚合物鏈。在更佳實施例 ’该基板包含在封裝及電路板工業中常見之材料,諸如 矽、銅、玻璃及另一聚合物。 96350.doc * 21 - 200531183 導=ΓΡ_術進行頂蓋_膜沉積之半 盖,及猎由㈣及灰化手段圖案化而形成通道 “傾向於自有機石夕酸鹽玻璃介電薄膜移除含碳部分 (其為^水性基團)並用残醇基團將其置換。當有機石夕酸鹽 玻璃"電賴含有錢醇錢以丨㈣所需之特性。石夕烧 醇及其可自空氣中吸收之水在—電場中係高度可極化的, =因此將提高該薄膜之介電常數,並將降低對濕式清洗化 學反應之抵抗力,並增加揮發性演化。而且,當用金屬填 充該等㈣及料並使其經Μ以理時,^收縮在該 等通道及渠溝壁上引發應力並導致在該等通道及渠溝之間 之介電材料内部形成非所需之空隙。 為彌補此問題,藉由增物劑處理來使得該有機矽酸鹽玻 璃介電薄膜大體上^切㈣及水以恢復含碳部分並增加 該有機料鹽玻璃介電薄膜之疏水性。此使得該薄膜對通 道及渠溝壁上之應力(諸如在退火過程中由金屬收縮所引 發之應力)、來自其他介電層之應力及封裝過程中之應力具 有抵抗力,因此其阻止了在該等通道及渠溝之間之介電材 料内部形成非所需之空隙。 蝕刻及電漿移除疏水性官能基。半導體製造過程中有機 石夕酸鹽玻璃介電薄膜之受損係由應用腐純電衆及/或應 用蝕刻試劑以將渠溝及通道蝕刻至介電薄膜中所引起的。 在半導體裝置製造過程中亦使用電漿來移除光阻薄膜。所 使用之電漿通常由元素氧、氟、氫、碳、氬、氦或氮(以自 由原子、化合物、離子及/或基團之形式)組成。 96350.doc -22- 200531183 在渠溝、通道、钱刻及/或光阻移除過程中曝露於此等電 ^介電相易於降解或受損。多孔介電薄膜具有非常高 2、面面積’且因此特別容易受到電漿致損之損壞。特定 言之,具有有機含量(諸如鍵接至si原子之甲基)之基於二氧 化矽之介電薄膜易於經由氧電漿而降解。將該有機基團氧 ^為co2 ’且錢醇或1〇11基團殘留在該有機基團先前所 u m表面上。多孔二氧切薄膜依賴該等有機基 團(在夕孔表面上)以保留疏水性。疏水性之損耗使該介電常 數上升(該等薄膜之低介電常數係該等材料之關鍵所需特 性)〇 為移除渠溝或通道钱刻後剩餘之殘留物之目的,亦將、、 式化學處理用於職製中。該等所使用之化學品常常具; 如此之腐#性以致於侵钱並移除基於二氧化石夕之介電薄用 (尤其係多孔二氧化矽薄膜)中之有機基團。而且,此受損乐 導致該等薄膜失去其疏水性。濕式化學蝕刻劑包括(例如 堵如N-甲基吡咯烷酮、二甲基甲醯胺、二甲基乙醯胺之酿Honeywell International lnc. (NANgLASS® and HOSP®). The material can be spin-coated on the surface, the material 96350.doc -12- 200531183 can be impregnated, spray-coated, chemical vapor deposition ((: ¥) 3), roller-coated on the surface, the A material is dripped onto the surface and / or a material is coated on the surface to coat the dielectric and low-dielectric materials. Dielectrics suitable for use in the present invention include CVD deposition materials, such as carbon-doped oxides, for example from a 卯 Matenals, lnc. Black Diamond, commercially available from Koral, Aurora available from ASM, and Orion available from Trikon. As described herein The phrase "spin-coating material", "spin-coating organic materials, spin-coating composition" and, spin-coating inorganic compositions, are interchangeable and their private use can be made by spin-coating. Solutions and compositions on a substrate or surface. Examples of silyl compounds include siloxane compounds such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane , Fluorenylphenylsiloxane, methylphenylsilsesquioxane, Azazan polymers, silicate polymers, and mixtures thereof. The covered silazane polymers are perhydrosilazane, which has a transparent `` polymer main chain that can be connected to a chromophore. Spin-on glass materials It also includes sintered sintered polymers and interlocking polymers, hydrosiloxane polymers of the general formula (H ^ .GSiOmA, and hydrogen sesquiterene sintered polymers with formula (HSiOK5) x, where X is greater than about 4. Also includes copolymers of 氲 silsesquioxane and alkoxyhydridosiloxane or hydroxyhydrosiloxane. Spin-coated glass materials also include organic hydrogenated stones of the general formula QSi〇i 5 2 Oxygen fired polymers and organic hydrogenated sesquioxane oxygen fired polymers of the general formula (HSiO! ^ (RSiO! 5) m, where m is greater than zero and the sum of ^ and 大于 is greater than 4 and R is a calcined or aryl group Some suitable organic hydride sintered polymers have a sum of 11 and 111 from about 4 to about 5000, where r is Cl_c2Gfluorenyl 96350.doc -13-200531183 or c ^ -c] 2 aryl. The Other organic hydrogenated siloxanes and organic hydrogenated silsesquioxane polymers are alternative spin-on polymers. Some special features Specific examples include hydrosiloxanes such as: methylhydrosiloxanes, ethylhydrosiloxanes, propylhydrosiloxanes, tertiary butylhydrosiloxanes, phenylhydrosiloxanes, and alkanes Hydrogenated silsesquioxane, such as: methyl hydrogenated silsesquioxane L, ethyl hydrogenated silsesquioxane, propyl hydrogenated silsesquioxane, third butyl hydrogenated silsesquioxane, Phenyl hydrogen silsesquioxane, and combinations thereof. Several covered spin-coated materials are described in the patents and applications granted below: US Patent No. 6,505,497, No. No. 6,365,765, No. 6,268,457, No. 6,177,199, No. 6,358,559, No. 6,218,020, No. 6,361,820, No. 6,218,497, No. 6,359,099, No. 6,143,855, No. 6,512,071, November 2001 US Patent Application No. 10/001143 filed on May 10, PCT / US00 / 15772 filed on June 8, 2000, and pCT / us 00/00523 filed on January 7, 1999, which The entire text is incorporated herein by reference. Organic hydridosiloxane and organic siloxane resin solution can be used to form clathrate sintered polymer film. It is suitable for manufacturing various electronic devices, microelectronic devices, especially semiconductor integrated circuits, and for electronics and semiconductors. Various laminated materials of the module, including a hard cover curtain layer, a dielectric layer, an etch stop layer, and an embedded engraved stop layer. These oxyhydrogen sintered resin layers are compatible with other materials that can be used in laminated materials and devices, such as adamantane-based compounds, bisadamantane-based compounds, silicon core compounds, organic dielectrics, and naphthalene. Find porous dielectrics. The compounds covered by this document that are quite compatible with the organohydrogensiloxane resin layer are disclosed in the following patents: US Patent No. 96350.doc -14- 200531183 Liability Nos. 6,214,746, 6,171,687, 6 No. 172,128, No. 6,156,812, U.S. Application No. 60/350 187 filed on January 15, 2002, U.S. Patent Application No. 09/538276, U.S. Patent Application No. 09/544504, US Patent Application No. 09/58785 1 and US · 60/347195 filed on January 8, 2002, PCT applications PCT / US01 / 32569 filed on October 17, 2001, and December 31, 2001 The PCT application PCT / US01 / 50812 filed, these patents are incorporated herein by reference. A suitable organohydrogensiloxane resin used herein has the following general formula: [H-Si ^ UR-SiO ^ k Formula ⑴ [H〇.5-Si1.5.i.8] n [R〇.5. i.〇-Si01.5.1 < 8] m Formula (2) [Ho-Ko-SUJR-SiOidm Formula (3) [H-Si15] x [R.Si01.5] y [Si02] z Formula ⑷ where: : The sum of η and m or the sum of X, y, and z is about 8 to about 5000, and ㈤ or γ is selected so that the carbon-containing component is less than about 40% (low-carbon organic content = Lossp). ) Or present in an amount greater than about 40% (high carbon organic content = 1 1081>); R is selected from substituted and unsubstituted, normal and branched chain alkyl (methyl, ethyl, Butyl, propyl, pentyl), alkenyl (ethenyl, allyl, isopropenyl, cycloalkyl, cyclodiyl, aryl (phenyl, phenylfluorenyl, Zeyl, allyl and phenanthrene) Group) and mixtures thereof; and the specific mole percentage of carbon-containing substituents is a function of the ratio of the amount of starting material. In some experimental examples, the mole percentage is a mediator, and the mole percentage is 15 Carbon-containing substitutions in the range of about 25 mole percentages are known as particularly advantageous results. Some Hsp In embodiments, favorable results are obtained with carbon-containing substituents in which the mole percentage is in the range of about 55 mole percentage to about 75 mole percentage. The dielectric constant is in the range of about 1.5 to about 4. Nanoporous dioxide dioxide dielectric film can also be used as one of the layers. Lay (layed called nanoporous silicon dioxide film as a silicon-based precursor, aging or condensation in the presence of water) and Sufficient heating to completely remove all pore-forming molecules and form voids in the film. The silicon-based precursor composition contains a monomer or prepolymer having the formula, where "R" is independently selected from alkyl, aryl, hydrogen, and In combination, L is an electronegative moiety, such as an alkoxy group, a carboxyl group, an amine group, an ammonium amine :, a functional compound, an isocyanate, and a combination thereof, and χ is an integer ranging from 0 to about 2 and y is an integer in the range of about 0 to about 4. Other nanoporous compounds and methods can be found in the following patent cases: U.S. Patent Case No. 687, No. 6 ^, No. 6, No. 6,214,746, No. 6,3i3, i85: Nos. 6,380'347 and 6,38〇, 27 (), both of which The way of citation is incorporated herein. The words "cage-like structure", "cage-like molecule," and "cage-like compound" are intended to be used interchangeably and refer to a molecule having at least 1 () atoms, The atomic systems are arranged so that at least one atomic bridge covalently connects two or more atoms of the ring system to σ, and the cage structure, cage molecule or cage compound includes a plurality of covalently bonded atoms. A ring formed, in which the structure, molecule, or compound defines a volume such that a point located in the volume does not leave the volume without crossing the ring. The atomic bridge and / or the ring system may contain-or more heterogens and it may be aromatic, partially saturated or non-saturated. The further 茏 -like structures covered include spherical shell-like carbon molecules and ethers with ether bridges. For example, adamantane or diadamantane is considered to be a 茏 -like structure, and because naphthalene compounds or aromatic spiro compounds do not have or atomic bridges', naphthalene compounds or aromatic compounds are not considered within the scope of this definition. Group spiro compounds are considered cage-like structures. The covered dragon-like compounds are not necessarily limited to carbon atoms only, but may include heteroatoms such as N, s, 0, P, and the like. Heteroatoms can be advantageously introduced into non-quadrilateral bond angle configurations. Regarding the substituents and derivatives of the covered cage compounds, it should be recognized that many substituents and derivatives are appropriate. For example, 'when the caged compound is relatively hydrophobic', it can attract hydrophilic substituents, increase solubility in a hydrophilic solvent, or vice versa. Side chain groups are added to the cage compound. It is further encompassed that suitable substituents may also include thermally labile groups, nucleophilic and electrophilic groups. It should also be understood that functional groups ( For example, to promote cross-linking reactions, derivatization reactions, etc.) Cage molecules or compounds as described in detail herein may also be groups attached to the polymer backbone, and thus can form the following nanoporous materials: 苴 中Cage compounds form a class of voids (inside the molecule), and at least a portion of the main chain is crosslinked with itself or another-backbone to form another type of void (intermolecular). On October 18, 2001 Additional cage molecules, cage compounds and variants of these molecules and compounds are described in detail in the Japanese application ^ ct / us〇i⑽ς. The full text of this document is incorporated herein by reference. ^ The polymers covered are also Can include A wide range of functional or structural parts, including aromatic systems and functional groups. In addition, suitable polymers may have many configurations, including homopolymers and heterogeneous materials. Moreover, alternative polymers—bonded, hyperbranched, or three-dimensional. The molecular weights of the contained compounds are across a wide range of spectroscopy through books ranging from 400 Daltons to 400,000 Daltons or more. 7 As is known in the compound technology, additives can also be used to enhance or impart specific special ingredients, tinctures, flame retardants, pigments, plasticizers, surfactants, and the like. Can be blended and compatible Or incompatible polymers in it to obtain the desired properties. Adhesion promoters can also be used. Hexamethyl 4μ represents a material accelerator, which can be used to expose the surface to moisture or humidity (such as dioxin). Applicable via functional groups where possible. Poetry Microelectronics applications, specifically polymers used in dielectric layers, need to contain low levels of ionic impurities (usually less than i ppm 'preferably less than 10 ppb). As long as the resulting solution Can be applied to substrates, surfaces and wafers Laminated materials, the materials, precursors, and layers described herein can and are designed in many ways to solvate or dissolve them in any suitable solvent. Typical solvents are also those that can Isomeric monomer mixtures and solvents for polymer solvation. Solvents covered include any suitable pure organic or inorganic molecules or mixtures thereof, which molecules volatilize at a desired temperature, such as a critical temperature, and which promote any of the above. A design goal or need is achieved. The solvent may also contain any suitable unipolar and non-polar compounds or mixtures thereof. As used herein, the term "polar" means that a molecule or compound is within the molecule or compound. A feature of unequal charge, partial charge, or spontaneous charge distribution built at a point or along the molecule or compound. As used herein, the term "non-polar π" means that a molecule or compound is at a point in the molecule or compound or 96350.doc -18- 200531183 Build characteristics of uneven, partial, or spontaneous charge distribution along the molecule or compound. In some of the covered embodiments, the solvent or solvent: compound (comprising at least two solvents) comprises solvents that they consider to be part of a hydrocarbon solvent. Hydrocarbon solvents are their solvents containing carbon and hydrogen. It should be understood that 1 = several hydrocarbon solvents are non-polar; however, a few hydrocarbon solvents can be considered polar. Hydrocarbon solvents are usually subdivided into three categories: aliphatic, cyclic, and aromatic. Aliphatic smoke solvents can include both linear and branched and possibly cross-linked compounds, however, aliphatic hydrocarbon solvents are not considered cyclic. Cyclic hydrocarbon solvents are solvents which have characteristics similar to aliphatic hydrocarbon solvents, which contain at least three oriented carbon atoms in a ring structure. Aromatic hydrocarbon solvents are solvents which usually contain three or more unsaturated bonds. The aromatic hydrocarbon solvents have a single ring or are connected by a common bond = polycyclic and / or polycyclic fused together. Hydrocarbon solvents covered include methylben, xylene, p-xylene, m-xylene, trimethylbenzene, naphtha, solvents, naphtha solvents, alkanes such as pentane, hexane , Isohexane, heptane, nonyl, octane, dodecane, 2-methylbutane, hexadecane, tridecanepentadecane, tripentane, 2,2, trimethylpentane Alkanes, petroleum ethers, halogenated hydrocarbons, such as gasified hydrocarbons, nitrated hydrocarbons, benzene, ′, dimethylbenzene, ′ ′ 2,4-trimethylbenzene, mineral spirits, kerosene, isobutylbenzene, methyl Naphthalene, ethyltoluene, 'petroleum (ligr01ne). Particularly covered solvents include, but are not limited to, pentyl, hexane, heptane, cyclohexane, [toluene, xylene, and mixtures or combinations thereof. In other covered embodiments, the solvent or solvent mixture may include solvents that are not part of a compound that is not considered to be a solvent family, such as: ketones, 3-propylpentane, diethyl ketone, fluorenyl Ethyl ketones and their analogs, alcohols, 5 " amidines and amines. In other covered embodiments, the solvent or solvent may include a combination of any of the solvents mentioned herein. In two embodiments, the solvent comprises water, ethanol, propanol, acetone, and benzyl. "Tsunami, Butyrin, Methyl Ethyl Ketone: Two steps covered: alternative low dielectric constant materials can also include another group of one case. 'When low dielectric constant materials are exposed to mechanical stress, two "Ca other protective reagents. In other cases where the dielectric material is placed on a smooth surface, it may be advantageous to use an adhesion promoter. In other cases, cleaning agents or defoamers may be required. -In general, a precursor is applied to, for example, a spin-on glass-breaking composition in the form of a 7-removable solvent on a substrate: and then polymerized and formed to form a nano-pore-containing medium. The way of the electric film is subjected to solvent removal. A When forming such nanoporous films (for example, where the substrate is coated on a substrate by spin coating), during the preliminary heating step, the film coating is usually catalyzed by an acid or alkali catalyst and water to cause polymerization. Action / Gelification (_Aging if needed 'The film is then hardened (for example by one or more high temperature heating steps of the film) to remove (among other purposes) any residual solvent and complete This polymerization process. Other hardening methods include subjecting the film to radiant energy, such as ultraviolet light, electron beam, microwave energy, and the like. Co-owned US Patent Nos. 6,204,202 and 6,413,882 are hereby incorporated by reference. The method provides a Shixiji precursor composition and a method for forming a nanoporous silica dioxide dielectric film by degrading or evaporating one or more polymers or oligomers in the precursor composition. Commonly owned 96350.doc -20- 200531183 Some US patents No. 6,495,479 provide silicon-based precursor composition and its use for degradation from degradation or basic road # 二 飞 for rabbit this rigid drive group A method for forming a silicon dioxide dielectric film of one or more of compounds or polymers among them (Ding, Muxipo, etc.) US Patent No. 5,895,263 5 Tiger describes coating by including a decomposable polymer and an organic oxide stone In the evening (organic poly dioxide dioxide, that is, including condensation or polymerization of mouthpieces), the composition 'heats the composition to further condense the polydioxin and decompose the polymer. Form a porous dielectric layer to form a nano-porous silicon dioxide dielectric film on a substrate (for example, a wafer). ▲ For coating the precursor on the substrate, ageing, hardening, planarizing, and ( Etc.) The method by which the film is hydrophobic is described, for example, by the co-owned Lao Patent Nos. 6,589,889 and 6,037,275 (other patents also cover this). This article covers The substrates and wafers can include any of the required substantially solid materials. "The substrate layer required by the temple should include films, glass, ceramics, plastic, metal or coated metal, or composite materials. In the case of a good Λ handle, the substrate package Shi Xi or Shi Shenhua wrong die or wafer surface, packaging surface as found in copper-plated, silver-plated, nickel-plated or gold-plated lead frames, such as circuit board or package interconnect traces, channel walls or Copper surfaces found in hardener interfaces (considered, copper " including bare copper and its oxides), polymer-based packaging or board interfaces found in polyimide-based flexible packaging , Miscellaneous or other metal alloy solder spherical surfaces, glass and polymers such as polyimide. Considered as cohesive interface: 'You can even define' substrate 'as another polymer chain. In more A preferred embodiment 'The substrate includes materials commonly found in the packaging and circuit board industries, such as silicon, copper, glass, and another polymer. 96350.doc * 21-200531183 Guidance = Γ__Top cover _ film deposition half cover, and hunting and patterning by ashing and ashing to form channels "will tend to be removed from organosilicate glass dielectric films The carbon-containing part (which is a water-based group) is replaced with a residual alcohol group. When the organic petrolatum glass " Electrolyte contains the necessary properties, it is necessary to use it. The water absorbed from the air is highly polarizable in the electric field, so the dielectric constant of the film will be increased, and the resistance to wet cleaning chemical reactions will be reduced, and the volatile evolution will be increased. Also, when When these materials are filled with metal and allowed to pass through M, the shrinkage causes stress on the walls of the channels and trenches and leads to the formation of undesired interiors of the dielectric materials between the channels and trenches. In order to compensate for this problem, the organic silicate glass dielectric film was substantially cut with water by using an additive treatment to restore the carbon-containing portion and increase the hydrophobicity of the organic material glass dielectric film. This makes the film stress on the walls of the channels and trenches (various (Such as stress caused by metal shrinkage during annealing), stress from other dielectric layers, and stress during packaging are resistant, so it prevents the internal formation of dielectric materials between these channels and trenches Unwanted voids. Etching and plasma removal of hydrophobic functional groups. Damage to organic silicate glass dielectric films during semiconductor manufacturing is caused by the application of etched pure electricity and / or the use of etching reagents to channel trenches. And channel etching into the dielectric film. Plasma is also used to remove the photoresist film during the fabrication of semiconductor devices. The plasma used is usually composed of the elements oxygen, fluorine, hydrogen, carbon, argon, helium or nitrogen. (In the form of free atoms, compounds, ions, and / or groups). 96350.doc -22- 200531183 Exposure to these dielectrics during trench, channel, coin, and / or photoresist removal Phases are susceptible to degradation or damage. Porous dielectric films have a very high 2, surface area 'and are therefore particularly susceptible to damage by plasma damage. In particular, organic materials (such as methyl groups bonded to si atoms) Based on dioxide The dielectric film is easily degraded by an oxygen plasma. The organic group oxygen is co2 ′ and the alcohol or 1011 group remains on the surface of the organic group previously formed by the porous group. The porous dioxygen-cut film relies on this And other organic groups (on the surface of the pores) to retain hydrophobicity. The loss of hydrophobicity increases the dielectric constant (the low dielectric constant of these films is a key required characteristic of these materials). The purpose of the remaining residue after the ditch or channel money is carved is also to use the chemical treatment in the professional system. These chemicals are often used; such a rotten nature so that the money is invaded and removed. The organic groups in the dielectric thin films of oxide oxides (especially porous silicon dioxide films). Moreover, this damage causes the films to lose their hydrophobicity. Wet chemical etchants include (such as plug N- Methylpyrrolidone, dimethylformamide, dimethylacetamide

胺,諸如乙醇及2_丙醇之醇;諸如乙醇胺之醇胺;諸如三 乙胺之胺;諸如乙二胺及N具二乙基乙二胺之二胺;諸如 一伸乙基二胺之三胺;諸如乙二胺四乙酸"edta”之二胺 酉文,省如乙酉文及甲酸之有機酸,·諸如四甲基乙酸錄之有機 酸敍鹽;諸如硫酸、輕、氫氟酸之無機酸;諸如氣化銨 之齓化鹽;及諸如氫氧化銨及氫氧化四甲基銨之鹼;及羥 為後#刻/愚式清洗而研製之商業調配物,諸如Ekc 5〇5、525、450、265、270及 630(EKC CorP·,Hayward CA)、 96350.doc -23- 200531183 以及 ACT-CMI 及 ACT-690(Ashland Chemical,Hayward, C A),此僅枚舉此項技術上已知之若干蝕刻劑。灰化試劑包 括何生自氫、氮、氦、氬、氧、及衍生自其之混合物之電 漿,及其類似物。 為解決上述問題,本發明提供將疏水特性賦予在製造一 半導體或1C裝置過程中出現在一基板上之有機矽酸鹽之方 法。 本發明之方法包括以下步驟:(3)在經受至少一種蝕刻劑 或灰化試劑之後,但在該金屬經受退火處理之前,將該有 機矽酸鹽玻璃介電薄膜與一定濃度之增韌劑組合物接觸, 且該接觸所歷經之一段時間係能有效地將至少一些含碳部 分恢復至有機矽酸鹽玻璃介電薄膜並增加該有機矽酸鹽破 璃介電薄膜之疏水性;及(13)移除未反應之增韌劑組合物、 反應產物及其混合物。該增韌劑組合物包括至少一種增韌 劑,亦即一種適合於自受損二氧化矽介電薄膜移除矽烷醇 部分之化合物或其帶電衍生物。視情況,蝕刻劑致損之奈 米多孔二氧化矽介電薄膜接著經受濕式清洗步驟。 在一實施例中,該增韌劑組合物包括至少一種具有如下 分子式之增韌劑組合物: (I) [-SiR2NR’-]n 其中 n>2 且可為環狀;⑺R3SiNR,SiR3 ; (3)(R3Si)3N ; (4)R3SiNR’2 ; (5)R2Si(NR,)2 ; (6)RSi(NR,)3 ; (7)RxSiCly; (8)RxSi(OH)y; (9)R3SiOSiR,3; (10)RxSi(〇R,)y ; (II) RxSi(〇COR’)y; (12)RxSiHy; (13)RxSi[OC(Rf)=R”]“及 其組合, 96350.doc -24- 200531183 其中X係1至3範圍内之一整數,}^係1至3範圍内之一整數以 使y-4-x,各R係獨立選自氫及一疏水性有機部分。R基較佳 獨立遥自由烧基、芳基及其組合組成之有機部分之群。R, 基可為Η、烷基、芳基、或諸如c〇R、c〇NR、C02R之羰基。 R”可為烷基或諸如COR、C0NR、C02R之羰基。 在另一特定貫施例中,該增韌劑組合物包括至少一種以 下增韌劑或組合物:乙醯氧基三甲基矽烷、乙醯氧基矽烷、 一乙酿氧基石夕烧、三乙醯氧基矽烷、二乙醯氧基二甲基矽 烷、甲基三乙醯氧基矽烷、苯基三乙醯氧基矽烷、二苯基 二乙醯氧基矽烷、甲基三乙氧基矽烷、二曱基二乙氧基矽 烷、三甲基乙氧基矽烷、甲基三甲氧基矽烷、二甲基二甲 氧基石夕烧、二甲基甲氧基石夕烧、甲基三氯石夕烧、二甲基二 氯矽烷、三甲基氯矽烷、曱基矽烷、二甲基矽烷、三曱基 矽烷、六甲基二矽氮烷、六甲基環三矽氮烷、雙(二甲胺基) 二甲基矽烷、雙(二乙胺基)二甲基矽烷、三(二甲胺基)曱基 矽烷、二(二甲胺基)苯基矽烷、三(二甲胺基)矽烷、二甲基 曱矽烷基二甲醯胺、二甲基甲矽烷基二乙醯胺、二甲基甲 矽烷基二異氰酸酯、三甲基甲矽烷基三異氰酸酯、2·三甲 基甲矽烷氧基戊-2-烯-4-酮、n_(三甲基甲矽烷基)乙醯胺、 2-(三甲基甲矽烷基)乙酸、n_(三甲基甲矽烷基)咪唑、三甲 基甲矽烷基丙炔酸酯、三甲基曱矽烷基(三甲基甲矽烷氧 基乙酸酯、九甲基三矽氮烷、六甲基二矽氧烷、三甲基矽 燒醇、三乙基矽烷醇、三苯基矽烷醇、第三丁基二甲其石夕 烷醇、二苯基矽烷二醇、三曱氧基矽烷、三乙氧基矽烷、 96350.doc -25- 200531183 二氯石夕烧及其組合。在本發明之所需實施例令,增拿刃劑包 含二甲基二乙醯氧基矽烷。 視情況,該增韋刃劑組合物包括一種溶劑。合適之溶劑包 括(舉例而言)i同、鱗、自旨、煙及其組合。 該增韌劑組合物作為液體、氣相或氣體、及/或電漿接觸 受損二氧化矽介電薄膜。若以電漿之形式,該電漿可衍生 自矽烷化合物、烴、醛、酯、醚及/或其組合。除非另外指 明,否則應將本文中之術語”試劑”(”agent”或” agents")視為 術語’’試劑”("reagent”或,,reagents”)之同義詞。 合適之增勤劑組合物包括一或多種能自一須呈現疏水性 之經餘刻及/或經灰化有機矽酸鹽玻璃介電薄膜移除矽燒 醇基團之增韌劑。舉例而言,增韌劑係一種具有選自式 1(1-13)組成之群之分子式之化合物:,其中 n>2且可為環狀;⑺R3SiNR,siR3; (3)(R3Si)3N;⑷R3SiNR,2 ; (5)R2Si(NR’)2 ; (6)RSi(NR’)3 ; (7)RxSiCly ; (8)RxSi(OH)y ; (9)R3SiOSiR,3 ; (l〇)RxSi(〇R,)y ; (1 l)RxSi(OCOR,)y ; (12)RxSiHy; (13)RxSi[OC(R,)=R”]4_x及其組合, 其中X係1至3範圍内之一整數,y係丨至3範圍内之一整數以 使y-4-χ,各R係獨立選自氫及一疏水性有機部分。R基較佳 獨立遥自由烧基、芳基及其組合組成之有機部分之群。尺, 基可為H、烧基、芳基、或諸如c〇r、c〇NR、c〇2R之羰基。 R可為烧基或諸如COR、CONR、C02R之羰基。 烷基部分係官能化或非官能化,且其係選自下列各基組 成之群:直鏈烷基、支鏈烷基、環狀烷基及其組合,且其 96350.doc 200531183 中該烧基部分在自c】至約c】8尺寸範圍内。該芳基部分係經 取代的或未經取代的,且在自C5至約C1S尺寸範圍内。該增 早刃劑較佳為乙驢氧基石夕统或(舉例而言)一單體化合物,諸如 乙酿氧基三甲基矽烷、乙醯氧基矽烷、二乙醯氧基石夕烧、 三乙醯氧基矽烷、二乙醯氧基二甲基矽烷、甲基三乙醯氧 基石夕烧、本基二乙龜氧基石夕烧、二苯基二乙醯氧基石夕烧、 甲基二乙氧基石夕烧、二甲基二乙氧基石夕烧、三甲基乙氧基 矽烷、甲基三甲氧基矽烷、二甲基二甲氧基矽烷、三甲基 甲氧基砍烧、甲基二氣碎烧、二甲基二氯秒燒、三甲基氯 矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、六甲基二矽 氮烷、六甲基環三矽氮烷、雙(二甲胺基)二甲基矽烷、雙(二 乙胺基)二甲基矽烷、三(二甲胺基)甲基矽烷、三(二甲胺基) 苯基矽烷、三(二甲胺基)矽烷、二曱基甲矽烷基二甲醯胺、 二甲基甲矽烷基二乙醯胺、二甲基甲矽烷基二異氰酸酯、 二甲基甲矽烷基三異氰酸酯、2-三甲基甲矽烷氧基戊_2_烯_ 4-酮、η-(二甲基甲矽烷基)乙醯胺、2•(三甲基甲矽烷基)乙 酸、η-(三甲基甲矽烷基)咪唑、三甲基甲矽烷基丙炔酸酯、 二甲基甲矽烷基(三甲基甲矽烷氧基)·乙酸酯、九甲基三矽 氮烧/、甲基一石夕氧烧、三甲基石夕烧醇、三乙基石夕烧醇、 三苯基矽烷醇、第三丁基二曱基矽烷醇、二苯基矽烷二醇、 二甲氧基矽烷、三乙氧基矽烷、三氣矽烷及其組合。在一 顯著實施例中,增韌劑為甲基三乙醯氧基矽烷。在一較佳 實施例中,增韌劑為二甲基二乙醯氧基矽烷。 另外之增韌劑包括如美國專利案第6,2〇8,〇14號中所詳細 96350.doc -27- 200531183 4苗之^夕 用之方"^能表面改質試劑,如上所述,該專利案係以引 士 式併入本文中。該等多官能表面改質試劑可以氣相 或液體之形式塗布,且豆 ^ ^ ^ @ ^ ^ ,、視情況具有或不具有共溶劑。如 /、^有之美國專利案第6,395,651號中所詳細描述,合適 之共洛劑包括(例如)諸如丙,、二異丙基曱酮、2-庚酮、3_ 戊酮及其他之酮,該專利案之揭示内容以引用之方式倂入 =文中。舉例而言,如美國專利案第6,208,014號中詳細描 述某二車乂佳表面改質試劑將具有兩或兩個以上官能基且 與表面秒燒醇官能基反應同時將出現於該薄膜結構骨架 (framework)外之4勿質減至最低,並包括(例士口)表面石夕烧醇 可與合適之矽烷醇縮合,諸如:Amines such as ethanol and 2-propanol; alcohol amines such as ethanolamine; amines such as triethylamine; such as ethylenediamine and diamines with diethylethylenediamine; such as triethylenediamine Amines; diamine scripts such as ethylenediamine tetraacetic acid " edta ", organic acids such as ethyl acetate and formic acid, organic acid salts such as tetramethylacetic acid; salts such as sulfuric acid, light, hydrofluoric acid Inorganic acids; tritiated salts such as gasified ammonium; and bases such as ammonium hydroxide and tetramethylammonium hydroxide; and commercial formulations such as Ekc 505, which are developed for post-etching / wash cleaning. 525, 450, 265, 270, and 630 (EKC CorP ·, Hayward CA), 96350.doc -23- 200531183, and ACT-CMI and ACT-690 (Ashland Chemical, Hayward, CA), only enumerated in this technology Several known etchants. Ashing reagents include He Sheng from hydrogen, nitrogen, helium, argon, oxygen, and plasma derived from mixtures thereof, and the like. To solve the above problems, the present invention provides the imparting of hydrophobic properties Method for organosilicate appearing on a substrate during the manufacture of a semiconductor or 1C device The method of the present invention comprises the following steps: (3) combining the organic silicate glass dielectric film with a certain concentration of a toughening agent after being subjected to at least one etchant or ashing agent, but before the metal is subjected to an annealing treatment; And the period of time during which the contact is effective in recovering at least some of the carbon-containing portion to the organosilicate glass dielectric film and increasing the hydrophobicity of the organosilicate glass dielectric film; and (13 ) Remove unreacted toughening agent composition, reaction product, and mixtures thereof. The toughening agent composition includes at least one toughening agent, that is, one suitable for removing silanol portions from a damaged silicon dioxide dielectric film. Compound or a charged derivative thereof. Optionally, the nanoporous silicon dioxide dielectric film damaged by the etchant is then subjected to a wet cleaning step. In one embodiment, the toughener composition includes at least one compound having the following molecular formula: Toughener composition: (I) [-SiR2NR '-] n where n > 2 and may be cyclic; ⑺R3SiNR, SiR3; (3) (R3Si) 3N; (4) R3SiNR'2; (5) R2Si (NR,) 2; (6) RSi (NR,) 3; (7) RxSiCly; (8) RxSi (OH) y; (9) R3SiOSiR, 3; (10) RxSi (〇R,) y; (II) RxSi (〇COR ') y; (12) RxSiHy; (13) RxSi [ OC (Rf) = R "]" and combinations thereof, 96350.doc -24- 200531183 where X is an integer in the range of 1 to 3, and ^ is an integer in the range of 1 to 3 so that y-4-x Each R is independently selected from hydrogen and a hydrophobic organic moiety. The R group is preferably a group of organic moieties consisting of a free radical, an aryl group, and a combination thereof. R, a group may be fluorene, an alkyl group, an aryl group, or a carbonyl group such as coR, coNR, CO2R. R "may be an alkyl group or a carbonyl group such as COR, CONR, CO2R. In another specific embodiment, the toughener composition includes at least one of the following tougheners or compositions: ethoxytrimethylsilane , Ethoxysilane, monoethoxysilane, triethoxysilane, diethoxymethylsilane, methyltriethoxysilane, phenyltriethoxysilane, Diphenyldiethoxysilane, methyltriethoxysilane, difluorenyldiethoxysilane, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxylithium Burning, dimethylmethoxylithium burning, methyl chlorochlorite burning, dimethyldichlorosilane, trimethylchlorosilane, fluorenylsilane, dimethylsilane, trimethylsilylsilane, hexamethyldicarbonate Silazane, hexamethylcyclotrisilazane, bis (dimethylamino) dimethylsilane, bis (diethylamino) dimethylsilane, tris (dimethylamino) fluorenylsilane, di ( Dimethylamino) phenylsilane, tris (dimethylamino) silane, dimethylphosphosilyldimethylformamide, dimethylsilyldiethylphosphonium, dimethyl Silyl diisocyanate, trimethylsilyl triisocyanate, 2.trimethylsilyloxypent-2-en-4-one, n_ (trimethylsilyl) acetamide, 2- (tri Methylsilyl) acetic acid, n_ (trimethylsilyl) imidazole, trimethylsilylpropionate, trimethylsilylsilyl (trimethylsilyloxyacetate) Trisilazane, hexamethyldisilazane, trimethylsilanol, triethylsilanol, triphenylsilanol, tert-butyldimethylsilazanol, diphenylsilanedi Alcohol, trimethoxysilane, triethoxysilane, 96350.doc -25- 200531183 dichlorite fired, and combinations thereof. In a desired embodiment of the present invention, the sharpener comprises dimethyldiethyl Fluorosilane. Optionally, the Zengwei sharpener composition includes a solvent. Suitable solvents include, for example, the same, scale, self-confidence, smoke and combinations thereof. The toughener composition as a liquid, The gas phase or gas, and / or the plasma is in contact with the damaged silicon dioxide dielectric film. If it is in the form of a plasma, the plasma can be derived from silane compounds, hydrocarbons, Aldehydes, esters, ethers, and / or combinations thereof. Unless otherwise specified, the term "agent" or "agents" in this document should be considered the term "reagent" or "reagents" ") Synonyms. Suitable telecommutant compositions include one or more additives capable of removing silanol groups from a post-cut and / or ashing organic silicate glass dielectric film that must be rendered hydrophobic. Toughening agent. For example, the toughening agent is a compound having a molecular formula selected from the group consisting of Formula 1 (1-13): wherein n > 2 and may be cyclic; R3SiNR, siR3; (3) (R3Si ) 3N; ⑷R3SiNR, 2; (5) R2Si (NR ') 2; (6) RSi (NR') 3; (7) RxSiCly; (8) RxSi (OH) y; (9) R3SiOSiR, 3; (l 〇) RxSi (〇R,) y; (1 l) RxSi (OCOR,) y; (12) RxSiHy; (13) RxSi [OC (R,) = R ”] 4_x and combinations thereof, where X is 1 to An integer in the range of 3, y is an integer in the range of 3 to 3 such that y-4-χ, each R is independently selected from hydrogen and a hydrophobic organic moiety. The R group is preferably a group of organic moieties consisting of a free radical, an aryl group, and a combination thereof. The group may be H, an alkyl group, an aryl group, or a carbonyl group such as cor, coNR, and co2R. R may be an alkyl group or a carbonyl group such as COR, CONR, CO2R. The alkyl moiety is functionalized or non-functionalized, and it is selected from the group consisting of straight-chain alkyl, branched-chain alkyl, cyclic alkyl, and combinations thereof, and its burning in 96350.doc 200531183 The base portion is in a size range from c] to about c] 8. The aryl moiety is substituted or unsubstituted and is in a size range from C5 to about C1S. The early-increasing agent is preferably ethoxylate or (for example) a monomeric compound such as ethoxylated trimethylsilane, ethoxylated silane, diethoxylated oxalate, Ethoxysilane, diethyloxy dimethyl silane, methyltriethyloxy oxalate, benzyl diethyloxy oxalate, diphenyl diethyl oxysilane, methyl dimethyl Ethoxylate, dimethyldiethoxylate, trimethylethoxysilane, methyltrimethoxysilane, dimethyldimethoxysilane, trimethylmethoxycholine, methyl formaldehyde Dioxane, dimethyldichloride, trimethylchlorosilane, methylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane , Bis (dimethylamino) dimethylsilane, bis (diethylamino) dimethylsilane, tris (dimethylamino) methylsilane, tris (dimethylamino) phenylsilane, tris (di (Methylamino) silane, dimethylsilyldimethylformamide, dimethylsilyldiethylamidamine, dimethylsilyldiisocyanate, dimethylsilyltrimethyl Isocyanate, 2-trimethylsilyloxypent-2-en_ 4-one, η- (dimethylsilyl) acetamide, 2 • (trimethylsilyl) acetic acid, η- ( Trimethylsilyl) imidazole, trimethylsilyl propionate, dimethylsilyl (trimethylsilyloxy) acetate, nonamethyltrisilazine Oxygen, trimethylsilyl alcohol, triethylsilyl alcohol, triphenylsilyl alcohol, tertiary butyl difluorenylsilyl alcohol, diphenylsilyl glycol, dimethoxysilane, triethyl Oxysilane, trigas silane, and combinations thereof. In a significant embodiment, the toughening agent is methyltriethoxysilane. In a preferred embodiment, the toughening agent is dimethyldiethoxysilane. Additional toughening agents include as detailed in U.S. Patent No. 6,208,0014, 96350.doc -27- 200531183 4 Miao Zhixi Xi Fang " ^ Surface modification agents, as described above The patent case is incorporated herein by citation. These multifunctional surface modification reagents can be applied in the form of a gas phase or a liquid, and the beans ^ ^ ^ @ ^ ^, with or without a co-solvent as appropriate. As described in detail in U.S. Pat. No. 6,395,651, suitable co-lubricants include, for example, such as propyl, diisopropylfluorenone, 2-heptanone, 3-pentanone, and other ketones, The disclosure of this patent is incorporated by reference. For example, as detailed in U.S. Patent No. 6,208,014, the surface modification reagent of a certain second car will have two or more functional groups and will react with the surface second alcohol function at the same time will appear in the film structure skeleton ( (framework) should not be reduced to a minimum, and include (eg, Shikou) the surface stone yew alcohol can be condensed with a suitable silanol, such as:

RxSi(〇H)4.x 式 π 其中X-1-3,且各R係獨立選擇之部分,諸如Η及/或諸如烷 基、芳基或其衍生物之一有機部分。當R係烷基時,烷基部 T視情況係經取代的或未經取代的,且可為直鏈、支鏈或 環狀,且較佳為自Cl至約Cu或更大之尺寸範圍内,且更佳 為自C】至約Cs之尺寸範圍内。當尺為芳基時,芳基部分較佳 由一視情況經取代或未經取代之單芳族環組成,且為自q 至約cls或更大之尺寸範圍内,且更佳為自Q至約q之尺寸 範圍内。在可進一步選擇之物中,芳基部分係雜芳基。 在另一實施例中,可將烷氧基矽烷用於作為增韌劑,例 如諸如下式之合適之烷氧基矽烷:RxSi (〇H) 4.x Formula π Where X-1-3, and each R is an independently selected portion, such as fluorene and / or an organic portion such as an alkyl group, an aryl group or a derivative thereof. When R is an alkyl group, the alkyl moiety T is optionally substituted or unsubstituted, and may be linear, branched, or cyclic, and is preferably in a size range from Cl to about Cu or more And more preferably in a size range from C] to about Cs. When the rule is an aryl group, the aryl moiety is preferably composed of an optionally substituted single aromatic ring, and is in a size range from q to about cls or more, and more preferably from Q Within the range of about q. Among the further options, the aryl moiety is a heteroaryl. In another embodiment, an alkoxysilane can be used as a toughening agent, such as a suitable alkoxysilane such as:

RxSi(OR,)“ 其中R係獨立選擇之部分,諸如Η及/或諸如烷基、芳基或其 96350.doc -28- 200531183 姆之-有機部分;R,係獨立選擇之烧基或芳 。 係貌基時’燒基部分視情況係經取代的或未絲代 I之直鏈、域或環狀,且較佳為自至約c18或更 ,、二乾圍π且更佳為自Cl至約。之尺寸範圍内。當R 或R為方基% ’方基部分較佳由一視情況經取代或未經取 代之單芳族環組成,且為自。至約C18或更大之尺寸範圍 内’且更佳為自C5至約c8之尺寸範圍内。在可進一步選擇 之物中’芳基部分係雜芳基。因此,R基係獨立選自H、甲 基、乙基、丙基、苯基及/或其衍生物’纽制條件為至少 一個R係有機的。在一實施例中,兩個R基均為甲基,且 吕此表面改質試劑為甲基三甲氧基矽烷。 在另一貫施例中,根據本發明之合適矽烷具有以下通式"RxSi (OR,)" wherein R is an independently selected moiety such as fluorene and / or such as alkyl, aryl or its 96350.doc -28- 200531183; -R is an independently selected alkyl or aromatic moiety When it is based on the base group, the base group is optionally a linear, domain, or cyclic ring of substituted or unsubstituted I, and is preferably from about c18 or more, Erganwei π, and more preferably Cl to about the size range. When R or R is a square group% 'Square group portion is preferably composed of a optionally substituted or unsubstituted monoaromatic ring, and is from. To about C18 or greater Within the size range ', and more preferably from C5 to about c8. Among the further options, the' aryl moiety is a heteroaryl group. Therefore, the R group is independently selected from H, methyl, ethyl , Propyl, phenyl, and / or its derivatives' conditions are at least one R-based organic. In one embodiment, both R groups are methyl, and the surface modification reagent is methyltrimethyl Oxysilane. In another embodiment, a suitable silane according to the invention has the formula

RxSi(NR2)4.x 式 ΙΠ 其中X=l-3,R獨立為η、烷基及/或芳基。其中任一R為烷 基及/或芳基。在較佳實施例中,r係選自Η、ch3、C6H5, 且R2及R3均為CH3。因此三官能增韌劑包括(例如)三(二曱胺 基)甲基石夕烧、二(二甲胺基)苯基石夕烧及/或三(二甲胺基)石夕 烧。另外,可使用諸如六甲基環三矽氮烷、雙二甲胺基二 曱基矽烷及雙二乙胺基二甲基矽烷之經雙基取代之矽烷。 在另一實施例中,根據本發明之合適矽烷具有以下通式:RxSi (NR2) 4.x Formula III Where X = 1 to 3, R is independently η, alkyl and / or aryl. Any of R is an alkyl group and / or an aryl group. In a preferred embodiment, r is selected from Η, ch3, C6H5, and R2 and R3 are both CH3. Trifunctional toughening agents therefore include, for example, tris (diamido) methyl spar, bis (dimethylamino) phenyl spar, and / or tris (dimethylamine) spar. In addition, bis-substituted silanes such as hexamethylcyclotrisilazane, bisdimethylaminobisfluorenylsilane, and bisdiethylaminodimethylsilane can be used. In another embodiment, a suitable silane according to the present invention has the following general formula:

RxSi(ON=CR2)4_x或 RxSi[OC(R’)=R”]4 式 IV 其中x=l-3,且R基獨立為H、烷基及/或芳基,R,可為H、烷 基、芳基、烷氧基或芳氧基,且R,’可為烷基或羰基。因此, 改質試劑分別包括(例如)甲基三(曱基乙基酮肟)矽烷或2- 96350.doc -29- 200531183 一甲基曱石夕燒氧基戊-2-稀-4-酮。 在另一實施例中,根據本發明之合適矽烷具有以下通式:RxSi (ON = CR2) 4_x or RxSi [OC (R ') = R ”] 4 Formula IV where x = 1 to 3, and the R group is independently H, alkyl and / or aryl, and R may be H, Alkyl, aryl, alkoxy, or aryloxy, and R, 'may be alkyl or carbonyl. Therefore, the modifiers include, for example, methyltris (fluorenylethylketoxime) silane or 2- 96350.doc -29- 200531183 monomethyl vermiculyloxypentan-2-ox-4-one. In another embodiment, a suitable silane according to the present invention has the following general formula:

RxSi(NCOR2)4-x 或 RxSi(NCO)4-x 其中x=l_3,R基獨立為h、烷基及/或芳基。因此,表面改 質試劑包括(例如)二甲基甲矽烷基二曱醯胺、二甲基甲矽烷 基二乙酿胺、二甲基甲矽烷基二異氰酸酯、三甲基甲矽烷 基三異氰酸酯。 在又一實施例中,根據本發明之合適矽烷具有以下通式:RxSi (NCOR2) 4-x or RxSi (NCO) 4-x where x = 1 to 3, and the R group is independently h, alkyl, and / or aryl. Therefore, surface modifying agents include, for example, dimethylsilyldiamine, dimethylsilyldiethylamine, dimethylsilyldiisocyanate, trimethylsilyltriisocyanate. In yet another embodiment, a suitable silane according to the present invention has the following general formula:

RxSiCl4.x 式 V 其中,R為H、烷基或芳基。在一較佳實施例中,R為 CH3。因此根據式v之三官能表面改質試劑包括(例如)甲基 三氣叾夕烧。 在一更佳實施例中,封蓋(capping)試劑包括一或多種具 有如下通式之有機乙醯氧基矽烷:RxSiCl4.x Formula V wherein R is H, alkyl, or aryl. In a preferred embodiment, R is CH3. Thus trifunctional surface modification reagents according to formula v include, for example, methyl triazine. In a more preferred embodiment, the capping reagent includes one or more organic ethoxysilanes having the general formula:

(R〇xSi(OCOR2)y 式 VI 較佳地,X係一值在自丨至2範圍内之整數,且又與^可相同或 不同,且y係一自約2至約3或更大範圍内之整數。 包括多官能烷基乙醯氧基矽烷及/或芳基乙醯氧基矽烷 化合物之適用有機乙醯氧基矽烷包括(僅作為實例而非音 欲限制)甲基三乙醯氧基矽烷(,,MTAS’,)、二甲基二乙醯氧基 矽烷(DMDAS)、苯基三乙醯氧基矽烷及二苯 : 石夕烷及其組合。 乳基 視情況,將增韌劑與一諸如2_庚酮之合適溶劑混合,p 氣相或液體之形式塗布於奈米多孔二氧切表面且隨後= 96350.doc -30- 200531183 其乾燥。在所涵蓋之實施例中,使用5〇%六甲基二矽氮烷 (HMDZ)及5 0% 3-戊酮之混合物。將該液體旋轉塗布於一表 面、基板或晶圓。接著在高達425。〇下將該塗布之表面在烘 焙盤上烘焙。烘焙之後係接著進行pvD阻障及Cu晶種沉 積。在另一所涵蓋之實施例中,使用二曱基二乙醯氧基矽 烷(DMDAS)與3-戊酮之混合物。將該液體旋轉塗布於一表 面、晶圓或基板。接著在高達425艺下將該塗布之表面在烘 焙盤上烘焙。該烘焙步驟之後係pvD障壁及Cu晶種沉積。 在另一實施例中,在上述實施例中之烘焙步驟之後執行 使用諸如AP395或稀釋HF之化學品之濕式清洗。該濕式清 洗適用於移除灰化後剩餘之抗姓劑殘留物。㈣及灰化後 之未經處ί里之低k介電材料易於受濕式清洗試劑侵餘。增韋刃 劑處理顯著改良了低k介電質對濕式清洗侵餘之抵抗力。 視處理流程而定,在增韌劑處理過程中,尤其在通道底 4可曝露銅表面。&自銅表面移除天然氧化物外,濕式清 亦可移除增韌劑與曝露之鋼表面之任一反應產物。具體 。之,使用AP395之濕式清洗能清洗先前曝露於使用 DMDAS之增韌劑處理之銅(或任一合適之金屬或金屬合金) 表面。 ^後,可用-種金屬來填充該等通道及渠溝;並將該金 屬、、、工又退火處理。如本文所用之術語,,金屬,,意謂彼等位於 元素週期表d區及f區中之元素、 類金屬特性之元素。如本文所用 了該元素之核子周圍之3d、4d、 及諸如矽及鍺之彼等具有 之短語”(1區”意謂具有填充 5d及6d軌道之電子之彼等 96350.doc -31 - 200531183(RoxSi (OCOR2) y Formula VI Preferably, X is an integer in the range from 丨 to 2, and may be the same or different from ^, and y is from about 2 to about 3 or more Integer within the range. Suitable organic ethoxylated silanes including polyfunctional alkyl ethoxylated silanes and / or aryl ethoxylated silane compounds include (by way of example only and not limitation) methyltriacetone Oxysilane (,, MTAS ',), dimethyldiethyloxysilane (DMDAS), phenyltriethoxysilane, and diphenyl: stone oxide and combinations thereof. Milk base will increase as the case may be. The toughening agent is mixed with a suitable solvent such as 2-heptanone, applied in the form of a gas phase or a liquid on a nanoporous dioxon surface and subsequently dried = 96350.doc -30- 200531183. In the examples covered A mixture of 50% hexamethyldisilazane (HMDZ) and 50% 3-pentanone is used. The liquid is spin-coated on a surface, substrate or wafer. The coating is then applied at up to 425.0 °. The surface is baked on a baking tray. After baking, pvD barrier and Cu seed deposition are followed. In another covered embodiment, use Mixture of difluorenyldiethyloxysilane (DMDAS) and 3-pentanone. This liquid is spin-coated on a surface, wafer or substrate. The coated surface is then baked on a baking tray at up to 425 steps. After this baking step, pvD barriers and Cu seed deposits are deposited. In another embodiment, a wet cleaning using a chemical such as AP395 or diluted HF is performed after the baking step in the above embodiment. This wet cleaning is suitable Residues of anti-agents remaining after ashing. Low-k dielectric materials that have not been treated after ashing are susceptible to wet cleaning reagents. Zengwei blade treatment significantly improves low-k Resistance of dielectric to wet cleaning. Depending on the process, the copper surface can be exposed during the toughening process, especially at the bottom of the channel. &Amp; Shiqing can also remove any reaction product between the toughener and the exposed steel surface. Specifically, the wet cleaning using AP395 can clean copper previously exposed to the toughener treated with DMDAS (or any suitable Metal or metal alloy) surface. Fill the channels and trenches with a metal; and anneal the metal, metal, metal, and metal. As the term is used herein, metal, means that they are located in the d and f regions of the periodic table. Element, metal-like element. As used herein, 3d, 4d around the nucleus of the element, and phrases such as silicon and germanium have "(zone 1)" meaning electrons with orbits filled with 5d and 6d. Those 96350.doc -31-200531183

元素。如本文所用之短語”f區”意謂具有填充了該元素之核 子周圍之4f及5f執道之電子之彼等元素,包括鑭系元素及锕 系元素。較佳金屬包括銦、銀、銅、鋁、錫、鉍、鎵及其 合金、經銀塗布之銅、及經銀塗布之鋁。術語,,金屬,,亦包 括合金、金屬/金屬複合物、金屬陶瓷複合物、金屬聚合物 複合物、及其他金屬複合物。可藉由在自約15〇它至約 50 C或加〇 ◦至250 C之溫度下加熱約1〇秒至約6〇秒來進 行退火。只要執行退火,此等時間及溫度並非關鍵性的。 在另-實施例中,可在第—涵蓋實施例中之供培處理前執 行/”、、式π洗。在濕式清洗後執行該高溫烘焙步驟。此方法 之一有利點可為··在藉由烘焙處理使其,,變硬,,前,濕式清 洗可移除過量增韌劑及與任一曝露之銅表面反應之任一產 :。此可引起介電材料中之較低量之揮發性組份及一較清 潔之銅表面。兩者均可引起—改良之長期可靠性。在另一 所涵蓋之實施例中’在增_叫處理前在自約⑽至約element. As used herein, the phrase "f-region" means that they have 4f and 5f dominating electrons surrounding the nucleus of the element, including lanthanides and actinides. Preferred metals include indium, silver, copper, aluminum, tin, bismuth, gallium and alloys thereof, silver-coated copper, and silver-coated aluminum. The term, metal, also includes alloys, metal / metal composites, cermet composites, metal polymer composites, and other metal composites. Annealing may be performed by heating at a temperature of from about 150 ° C. to about 50 ° C. or from 0 ° C. to 250 ° C. for about 10 seconds to about 60 seconds. As long as annealing is performed, these times and temperatures are not critical. In another embodiment, the "/", and π washing can be performed before the feeding process in the first-covering embodiment. The high temperature baking step is performed after the wet washing. One of the advantages of this method can be ... Before making it, harden by baking treatment, wet cleaning can remove excess toughener and any product that reacts with any exposed copper surface: this can cause lower levels in dielectric materials Amount of volatile components and a cleaner copper surface. Both can cause-improved long-term reliability. In another covered embodiment, 'from about to about

400。(:下執行另外脫水烘培!細至咖―。該脫水供培移 除該受損低k介電質中所吸收之任一潮氣。在增_理前 自该介電質移除潮氣使該處理更為有效。 在-替代實施例中,增韌劑組合物係藉由將蝕刻劑致損 之有機矽酸鹽玻璃介電薄膜曝露於衍生自上述之任一增勤 劑之電漿而提供。在-典型程料,將該有㈣酸鹽玻璃 介電薄膜置於一電漿產生室中,㈣漿增強式化學氣相沉 積(PECV_統;將增_組合物之氣相及氬氣相通過電 漿產生室;接著啟動職量源以建置電聚;包括氬氣體以 96350.doc -32- 200531183 有助於促進電漿之形成。電漿由衍生自增韌劑組合物之離 子斷片(ionic fragment)組成;舉例而言,離子斷片CH3Si+ 係產生自甲基石夕烷(CHsSiH3)。此斷片及矽烷醇基團反應以 形成疏水性Si-CH3部分。可將上述之任一增韌劑組合物用 於此電漿引發表面處理。 用於電漿引發表面改質處理之其他合適增韌劑組合物包 括Ci-C】2烷基及芳族烴。最佳烴為曱烷。用於電漿引發之增 韌劑組合物之其他試劑包括駿、酯、酸性氯化物及醚。合 適之膝包括乙酸及苯甲酸;合適之酯包括乙酸乙酯及苯甲 酸曱酯;合適之酸性氯化物包括乙醯氯及苯甲氯;且合適 之醚包括二乙醚及苯曱醚。可將廣泛種類之單晶圓或多晶 圓(分批)電漿系統用於此方法;此等系統包括諸如Gasonics L3510光阻灰化器之所謂之下游灰化器、諸如AppHed Materials P5000之PECVD介電沉積系統或反應式離子蝕刻 (nRIEn)系統。概括而言,用於電漿方法之條件在以下範圍 内· 20C至450C之腔室溫度;50 W至1〇〇〇 W之RF功率; 0.05至100 ton*之腔室壓力;5秒至5分鐘之電漿處理時間; 及100-2000 seem之表面改質流率;i〇〇-2〇〇〇sccm之惰性氣 體流率(通常為氬)。 熟習此項技術者應瞭解:本發明亦欲包涵藉由應用上述 電漿表面處理而將疏水性表面賦予多孔及/或無孔、受損抑 或未受損之二氧化石夕介電薄膜之方法。使用此等方法製造 之半導體裝置或1C亦為本發明之一部分。 可將經處理之介電層及材料用於或倂入任一合適之電子 96350.doc -33- 200531183 組件。通常認為如本文中所涵蓋之電子組件包含可… 於電子(electr〇nic_based)之產物之任一介電組二 電組件。所涵蓋之電子組件包含電路板、晶片封裳-二 :之:電組件、印刷導線板、及如電容器、電感器及電阻 裔之電路板其他組件。 基於電子之產物在其準備在卫業中或由其他消f者來使 用之意義上而言可為"經完成的"。已完成之消費產物實例 包括電視、電腦、手機、尋呼機、掌上型管理器、攜帶型 收音機、汽車S立體聲收音機及遙控器。亦涵蓋諸如電路 板、晶片封裝及鍵盤之"中間”產物,其可用於已完 物中。 I電子裝置亦可包含處在自概念模型至最終按比例擴大模 型(scale-up mock-up)之任一研製階段的原型組件。一原型 可能含有或可能不含有已完成產物中所意設之所有實際組 件,且原型可具有一些由複合材料建構以當初始測試時排 除其對其他組件之初始效應之組件。電子產物及組件可包 含疊層材料、疊層組件及層壓以備用於該組件或產物之組 件。 以下非限制性實例係用來說明本發明。 實例1 將 糸列自 Honeywell International,inc,Sunnyvale,400. (: Perform another dehydration baking! Fine to coffee-. The dehydration supply removes any moisture absorbed in the damaged low-k dielectric. Remove the moisture from the dielectric before adding This treatment is more effective. In an alternative embodiment, the toughener composition is obtained by exposing an etchant-damaged organosilicate glass dielectric film to a plasma derived from any of the above-mentioned service agents. Provided. In a typical process, the osmite glass dielectric film is placed in a plasma generation chamber, and the slurry enhanced chemical vapor deposition (PECV system; the gas phase of the composition and argon The gas phase passes through the plasma generation chamber; then the power source is activated to build the electropolymerization; including argon gas to 96350.doc -32- 200531183 helps to promote the formation of the plasma. The plasma is derived from the toughener composition Ionic fragment composition; for example, the ionic fragment CH3Si + is derived from methyllithoxane (CHsSiH3). This fragment reacts with the silanol group to form a hydrophobic Si-CH3 moiety. Any of the above can be used A toughening agent composition is used for this plasma-induced surface modification. Other suitable toughening agent compositions for quality treatment include Ci-C] 2 alkyl and aromatic hydrocarbons. The best hydrocarbons are pinane. Other reagents for the plasma-induced toughening agent composition include tritium, ester, and acid. Chlorides and ethers. Suitable knees include acetic acid and benzoic acid; suitable esters include ethyl acetate and ethyl benzoate; suitable acidic chlorides include ethyl acetate and benzoyl chloride; and suitable ethers include diethyl ether and benzene Ether. A wide variety of single- or multi-wafer (batch) plasma systems can be used for this method; these systems include so-called downstream ashers such as Gasonics L3510 photoresist ashers, such as AppHed Materials The PECVD dielectric deposition system or reactive ion etching (nRIEn) system of P5000. In general, the conditions for the plasma method are in the following ranges: · Chamber temperature of 20C to 450C; 50W to 1000W RF power; chamber pressure of 0.05 to 100 ton *; plasma treatment time of 5 seconds to 5 minutes; and surface modification flow rate of 100-2000 seem; inert gas flow rate of 100-200 sccm (Usually argon.) Those skilled in the art should understand that the present invention also It is intended to include a method for imparting a hydrophobic surface with a porous and / or non-porous, damaged or undamaged dielectric oxide film by applying the above-mentioned plasma surface treatment. A semiconductor device or 1C manufactured using these methods Also part of this invention. Processed dielectric layers and materials can be used in or incorporated into any suitable electronic 96350.doc -33- 200531183 component. Electronic components, as covered herein, are generally considered to include components that can ... Electronic (electrical-based) products of any dielectric group two electrical components. The covered electronic components include circuit boards, chip packages-2 :: electrical components, printed wiring boards, and capacitors, inductors and resistors Other components of the circuit board. Electron-based products may be " completed " in the sense that they are intended for use in the health industry or by other consumers. Examples of completed consumer products include televisions, computers, mobile phones, pagers, handheld managers, portable radios, car S stereo radios and remote controls. It also covers "intermediate" products such as circuit boards, chip packages, and keyboards, which can be used in finished products. I Electronic devices can also include everything from a conceptual model to a final scale-up mock-up A prototype component at any stage of development. A prototype may or may not contain all of the actual components intended in the finished product, and the prototype may have some construction made of composite materials to exclude its initial effect on other components when initially tested Effect components. Electronic products and components may include laminated materials, laminated components, and components laminated for use in the component or product. The following non-limiting examples are used to illustrate the present invention. Example 1 will be listed from Honeywell International , Inc, Sunnyvale,

California購得之6〇〇〇a Nan〇GLASS E奈米多孔二氧化矽 薄膜塗布於一 200 mm矽基板上,並接著在TEL DRM-85蝕 刻裔中使其曝露於基於C4F8之蝕刻及基於〇2之灰化處理。 96350.doc -34- 200531183 評估兩種增韌劑(ΤΑ-l及TA-2)。該等增韌劑以標準旋塗式 介電(SOD)塗布器塗布於晶圓,且將該等晶圓在125°C、 200°C及350°C下烤盤烘焙(hot plate baked)分別歷經1分 鐘。使用橢圓對稱法(ellipsometry)量測薄膜厚度及折射 率。使用FTIR分析元素組合物。在一 0.1 MHz Hg探針上量 測介電常數。藉由熱解吸附質譜法(TDMS)評估該薄膜之熱 穩定性。用3000A NANOGLASS E薄膜作為ILD且2000人 TEOS氧化物作為頂蓋層而製備單能級金屬(Single level metal)Cu鑲嵌結構。在200°C下,在N2環境下執行Cu退火1 hr,接著使用一聚焦離子束掃描電子顯微鏡(FIB-SEM)來檢 測ILD中之空隙。在Cu化學機械研磨法(CMP)後,使用一自 動探針儀來電子測試每晶圓之25個晶粒。 表I中呈現了經硬化之NANOGLASS®E薄膜之特性。 表I·經硬化之NANOGLASS®E薄膜之一般特性 參數 技術 結果 孔徑 BET 20A 折射率 橢圓對稱法 1.24 介電常數 ΜΙΜ@1ΜΗζ 2.2 彈性係數 納米壓痕 4.5 Gpa 硬度 納米壓痕 0.4 Gpa 熱穩定性 等溫TGA <1%重量損失 自NANOGLASS E之FTIR光譜觀察發現··與經硬化之薄 膜相比較,該蝕刻及灰化處理導致C-H及Si-C含量減少了 30-40%且Si-OH及H-OH鍵顯著增加。增韌劑處理導致C-H 及Si-C含量接近於經硬化之薄膜之C-H及Si-C含量。TA-2在 補充碳及減少Si-OH及H-0H鍵方面比TA-1更有效。 96350.doc -35- 200531183 蝕刻及灰化處理後,低k薄膜之介電常數(k)變高(>3〇)。 估計此歸因於Si-OH基團之潮氣吸附。該增韌劑處理將k值 減小至接近硬化後之水平。 表II展示在蝕刻及灰化後,在大多濕式清洗化學反應中, NANOGLASS E薄膜係親水性的且具有高蝕刻率,從而使其 不適合於濕式清洗。TA-1處理使該薄膜具有疏水性,且對 一些濕式清洗化學品具有抵抗力。 表II·姓刻-灰化(對照)之後及蝕刻-灰化及ΤΑ」處理之後 (TA-1)對曝露於各種濕式清洗化學品之nan〇GLASS £ 薄膜之影響 /嚴式清洗條件 1虫刻率(A/min) DI水接觸角(唐) 對照 TA-1 對照 TA-1 濕式清洗 <10 122 A(稀釋HF) >1000 0 33 112 B(含水酸性) 5 0 <10 118 c(半-含水氟化物) 卜25 1 14 <10 <1〇 D(有機胺) 70 23 <10 47 在400°C時,該介電薄膜之tdMS光譜展示經TA-1處理之 薄膜中之揮發性物質之演化與蝕刻及灰化後之薄膜類似。 經TA-2處理之薄膜展示了一具有低量揮發物之顯著改良之 熱穩定性。 在對照情況下(亦即無增韌劑),Cu退火後可在ILD間隙間 看到顯著空隙。在退火前觀察不到空隙。先前已報導了有 關多孔低k材料之類似觀察結果(參看Α· Matsushita、N.600000a Nan〇GLASS E nanoporous silicon dioxide film purchased from California was coated on a 200 mm silicon substrate and then exposed to a C4F8-based etch and based on a TEL DRM-85 etchant. 2 of the ashing treatment. 96350.doc -34- 200531183 Evaluation of two toughening agents (TA-1 and TA-2). The tougheners were applied to wafers using a standard spin-on dielectric (SOD) applicator, and the wafers were hot plate baked at 125 ° C, 200 ° C, and 350 ° C, respectively. After 1 minute. Ellipsometry was used to measure the film thickness and refractive index. Elemental composition was analyzed using FTIR. The dielectric constant was measured on a 0.1 MHz Hg probe. The thermal stability of the film was evaluated by thermal desorption mass spectrometry (TDMS). A single-level metal Cu mosaic structure was prepared using 3000A NANOGLASS E film as the ILD and 2000 human TEOS oxide as the cap layer. Cu annealing was performed in a N2 environment at 200 ° C for 1 hr, and then a focused ion beam scanning electron microscope (FIB-SEM) was used to detect voids in the ILD. After Cu chemical mechanical polishing (CMP), an automated probe was used to electronically test 25 dies per wafer. Table I shows the properties of the hardened NANOGLASS®E film. Table I · General characteristics of the hardened NANOGLASS®E film Technical results Aperture BET 20A Refractive index elliptic symmetry 1.24 Dielectric constant ΜΙΜ @ 1ΜΗζ 2.2 Elasticity coefficient nanoindentation 4.5 Gpa Hardness nanoindentation 0.4 Gpa Isothermal stability TGA < 1% weight loss from FTIR spectrum observation of NANOGLASS E. Compared with hardened films, this etching and ashing treatment resulted in a 30-40% reduction in CH and Si-C content and Si-OH and H The -OH bond increased significantly. Toughener treatment results in C-H and Si-C contents close to the C-H and Si-C contents of the hardened film. TA-2 is more effective than TA-1 in replenishing carbon and reducing Si-OH and H-0H bonds. 96350.doc -35- 200531183 After etching and ashing, the dielectric constant (k) of the low-k film becomes higher (> 30). It is estimated that this is attributed to the moisture adsorption of Si-OH groups. This toughening treatment reduces the value of k to a level close to that after hardening. Table II shows that after etching and ashing, in most wet cleaning chemical reactions, the NANOGLASS E film is hydrophilic and has a high etching rate, making it unsuitable for wet cleaning. TA-1 treatment makes the film hydrophobic and resistant to some wet cleaning chemicals. Table II · Effects of Surname-Ashing (Control) and After Etching-Ashing and TA ”(TA-1) on NANGLASS £ Films Exposed to Various Wet Cleaning Chemicals / Strict Cleaning Conditions 1 Insect engraving rate (A / min) DI water contact angle (Tang) Control TA-1 Control TA-1 wet cleaning < 10 122 A (diluted HF) > 1000 0 33 112 B (aqueous acidity) 5 0 < 10 118 c (semi-aqueous fluoride) bu 25 1 14 < 10 < 10D (organic amine) 70 23 < 10 47 At 400 ° C, the tdMS spectrum of the dielectric film is shown by TA-1 The evolution of volatile substances in the treated film is similar to that of the film after etching and ashing. TA-2 treated films exhibited significantly improved thermal stability with low levels of volatiles. In the control case (ie no toughener), significant voids were seen between the ILD gaps after Cu annealing. No voids were observed before annealing. Similar observations on porous low-k materials have been previously reported (see A. Matsushita, N.

〇hashi、K.Inukai、H.LShin、S.Sone、K.Sudoii、K· Misawa、I· Matsumoto及 Ν· Kobayashi,Proceedings of IEEE 96350.doc 200531183〇hashi, K. Inukai, H. LShin, S. Sone, K. Sudoii, K. Misawa, I. Matsumoto, and Ν Kobayashi, Proceedings of IEEE 96350.doc 200531183

International Interconnect Technology Conference,2003, 147 (2003);及 J· C. Lin、R. A. Augur、B. J· Daniels、S. L. Shue、C. H. Yu及 M. S. Liang,Proceedings of Advanced Metallization Conference 2002,637 (2002))。來自 Cu退火處 理之張應力估計為用於空隙形成之驅動力。經ΤΑ-1或TA-2 處理之晶圓在Cu退火之後恰恰展示係無空隙的。因此,證 明’’修補"該C-損耗受損對韌化該多孔低k材料係一種避免 由於外部應力而導致形成空隙之有效方式。 線至線洩漏電流不受增韌劑處理之影響。對照晶圓展示 了蛇形線電阻(serpentine resistance)之廣泛分佈。經發現: 高電阻尾隨脈衝(resistance tail)係歸因於諸如浮泡、Cu浸|虫 及訊坑(pitting)之缺陷,其可能由滯留於受損ILD區之濕氣 所導致。因為消除了潮氣滯留及相關缺陷,所以經增韌劑 處理之晶圓展示了緊密之電阻分佈。儘管中值電容不受增 韌劑處理影響,但歸因於較少之訊坑/浮泡缺陷,經處理之 梳形電容結構具有一更高良率。 已揭示處理引發受損對NANOGLASS®E及其他類似Si基 薄膜之影響。使用增韌劑TA-1或TA-2之灰化後處理能恢復 一未受損材料之特性。已證明在SLM結構中成功應用增韋刃 劑,其引起ILD空隙之消除及互連測試結構之高良率。 實例2 藉由標準旋轉塗布方法,在一 Si晶圓上形成可自 Honeywell International Inc·,Sunnyvale,California購得之 6000A HOSP矽薄膜。在400°c之N2中硬化該等薄膜。 96350.doc -37- 200531183 藉由使其依序經受以下處理而將電漿致損引入該等薄 膜: 蝕刻:1000 W/40mT/10 seem C4F8/200 sccn^O/300 seem Ar/100 seem N2, 40〇C(20秒)。 灰化:400 W/45 mT/100 seem 02, 40°C(20秒)。 使用27% DMDAS於3-戊酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在125t:、200°C及350°C N2 中將該等薄膜各烘焙1 min。 記錄了如下結果: 量測值 钱刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 2.7 3.0 2.81 FTIR(CH/SiO 比) 0.0235 0.017 0.020 H2o接觸角(°) 104 26 85 破壞強度(MV/cm) 5.51 4.1 5.12 實例3 藉由標準旋轉塗布方法,在Si晶圓上形成可自Honey well International Inc·,Sunnyvale,California 賭得之 6000A NANOGLASS 1.9矽薄膜。在425°C之N2中硬化該等薄膜。 藉由使其依序經受以下處理而將電漿致損引入該等薄 膜: 蝕刻:1000 W/40 mT/10 seem C4F8/200 seem CO/300 seem Ar/100 seem N2,40〇C(20秒)。 灰化:400 W/45 mT/100 seem 02, 40°C(20秒)。 使用27% DMDAS於3-戊g同中之混合物執行增韋刃劑處 理。旋轉塗布增韌劑材料後,在125°C、200°C及350°C N2 96350.doc •38- 200531183 中將該等薄膜各烘焙1 min。 記錄了如下結果: 量測值 1 虫刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 1.83 2.69 1.94 FTIR(CH/SiO 比) 0.0078 0.0027 0.0054 破壞強度(MV/cm) 4.51 1.22 3.76 實例4 藉由使其依序經受以下處理而將電漿致損賦予一商業級 多孔CVD低k介電薄膜: 蝕刻:40 mT,1400 W 160 Ar/80 CF4/20 02 40 mT,1400 W, 20 s 灰化:400 W/45 mT/100 seem 02, 40°C(30秒)。 使用27% DMDAS於3-戊酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在125°C、200°C及350°C N2 中將該等薄膜各烘焙1 min。 記錄了如下結果: 量測值 蝕刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 2.36 2.76 2.39 FTIR(CH/SiO 比) 0.02473 0.0149 0.013 破壞強度(MV/cm) 5.06 3.07 4.77 實例5 使用125°C、200°C及35〇t之習知烘焙方法製備可自 Honeywell International Inc·,Sunnyvale,California講得之 6000人NANOGLASS薄膜。使用425°C下之UV硬化(3 min) 來代替習知之爐内硬化(60 min)。且藉由使其依序經受以下 處理來賦予其電漿受損: 96350.doc •39- 200531183 蝕刻:1000 W/40 mT/10 seem C4F8/200 seem CO/300 seem Ar/100 sccmN2,40〇C(20秒) 灰化:400 W/45 mT/100 seem 02, 40°C(30秒) 使用27% DMDAS於3-戊酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在125°C、200°C及350°C N2 中將該等薄膜各烘焙1 min。使用UV硬化NANOGLASS作為 介電材料、使用實例2所描述之習知途徑建置銅單鑲嵌圖案 化結構。金屬化(PVD障壁及Cu晶種沉積及Cu電鍍)之前, 用增韌劑塗布一些晶圓,接著在高達350°C下烘焙。金屬化 之後,將所有樣品在200°C下退火50 min。用聚焦離子束掃 描電子顯微鏡來測定空隙之存在。 記錄了如下結果: a.毯覆式晶圓(Blanket wafer) 量測值 蝕刻及灰化前 蝕刻及灰化後 增韌劑處理後 介電常數(k) 2.1 2.85 2.25 FTIR(CH/SiO 比) 0.0082 0.0045 0.0075 破壞強度(MV/cm) 5.06 3.07 4.77 b. SLM圖案化晶圓 實例6 藉由使其依序經受以下處理將電漿致損引入6000 A爐内 硬化 NANO GLASS 薄膜,其可自 Honeywell International Inc., Sunnyvale,California賭得: I虫刻:1000 W/40 mT/10 seem C4F8/200 seem CO/300 seem Ar/100 sccmN2, 40〇C(20秒) 灰化(以下方法之一) 96350.doc -40- 200531183 〇2灰化·· 400 W/45 mT/100 seem 〇2,4(Tc(2〇 s^70 s) 或 N2/H2 灰化·· 500 W/45 mT/500 seem N2/125 seem H2 10°C(45 s或 135 s) 使用9〇/〇 DMDAS於2-庚酮中混合物執行增韌劑處理。旋 轉塗布增韌劑材料後,在125t、200°C及35(TC n2中將該等 薄膜各烘培1 min。 記錄了如一 灰化類型 Γ結果: k(無增韌劑瓦至; k(增韌劑處 k值之°/。減小 〇2, 20 s 2.98 ^ 236^~^~ 20.8 02, 30 s 3.11 2A2 -— 22.2 〇2, 70 s 3.53 Z63 25.5 Ν2/Η2 45 s 3·04—^ Z5 ~ 17.8 Ν2/Η2135 s 3.23 '— 2Π 16.4 實例7 藉由使其依序經受以下處理將電漿致損引入6000a爐内 硬化NANOGLASS薄膜: 名虫刻:1000 W/40 mT/l〇 sccin C4f8/200 seem CO/300 seemInternational Interconnect Technology Conference, 2003, 147 (2003); and J. C. Lin, R. A. Augur, B. Daniels, S. L. Shue, C. H. Yu, and M. S. Liang, Proceedings of Advanced Metallization Conference 2002, 637 (2002)). The tensile stress from the Cu annealing process is estimated as the driving force for void formation. Wafers treated with TA-1 or TA-2 show no voids after Cu annealing. Therefore, it has been proven that 'the repair' of the damaged C-loss is an effective way to toughen the porous low-k material to avoid the formation of voids due to external stress. Wire-to-wire leakage current is not affected by toughener treatment. The control wafer showed a wide distribution of serpentine resistance. It was found that the high-resistance tail is due to defects such as buoys, Cu immersion, and pitting, which may be caused by moisture trapped in the damaged ILD area. Because moisture retention and related defects are eliminated, the toughened wafer exhibits a tight resistance distribution. Although the median capacitor is not affected by the toughener treatment, the treated comb capacitor structure has a higher yield due to fewer pit / bubble defects. The effects of processing-induced damage on NANOGLASS®E and other similar Si-based films have been revealed. The post-ashing treatment with the toughening agent TA-1 or TA-2 can restore the properties of an undamaged material. It has been proven that the successful application of Zengweier in SLM structures results in the elimination of ILD voids and high yields of interconnect test structures. Example 2 A 6000A HOSP silicon film commercially available from Honeywell International Inc., Sunnyvale, California was formed on a Si wafer by a standard spin coating method. The films were hardened in N2 at 400 ° C. 96350.doc -37- 200531183 Plasma damage was introduced into these films by subjecting them to the following processes in order: Etching: 1000 W / 40mT / 10 seem C4F8 / 200 sccn ^ O / 300 seem Ar / 100 seem N2 , 40 ° C (20 seconds). Ashing: 400 W / 45 mT / 100 seem 02, 40 ° C (20 seconds). Toughener treatment was performed using a mixture of 27% DMDAS in 3-pentanone. After spin-coating the toughener material, the films were baked at 125t :, 200 ° C, and 350 ° C N2 for 1 minute each. The following results were recorded: Measured value Dielectric constant (k) after etching and ashing and toughening agent treatment before ashing 2.7 3.0 2.81 FTIR (CH / SiO ratio) 0.0235 0.017 0.020 H2o contact angle (°) 104 26 85 Breaking Strength (MV / cm) 5.51 4.1 5.12 Example 3 By a standard spin coating method, a 6000A NANOGLASS 1.9 silicon film, which can be obtained from Honey well International Inc., Sunnyvale, California, was formed on a Si wafer. The films were hardened in N2 at 425 ° C. Plasma damage was introduced into these films by sequentially subjecting them to the following treatments: Etching: 1000 W / 40 mT / 10 seem C4F8 / 200 seem CO / 300 seem Ar / 100 seem N2, 40 ° C (20 seconds ). Ashing: 400 W / 45 mT / 100 seem 02, 40 ° C (20 seconds). A 27% DMDAS in 3-penta g of the same mixture was used to perform the Zengwei knife treatment. After spin coating the toughener material, the films were baked at 125 ° C, 200 ° C, and 350 ° C N2 96350.doc • 38- 200531183 for 1 minute each. The following results were recorded: Measured value 1 Dielectric constant (k) 1.83 2.69 1.94 FTIR (CH / SiO ratio) 0.0078 0.0027 0.0054 Breaking strength (MV / cm) ) 4.51 1.22 3.76 Example 4 A plasma porous CVD low-k dielectric film was imparted to a commercial grade porous CVD film by subjecting it to the following processes: Etching: 40 mT, 1400 W 160 Ar / 80 CF4 / 20 02 40 mT , 1400 W, 20 s Ashing: 400 W / 45 mT / 100 seem 02, 40 ° C (30 seconds). Toughener treatment was performed using a mixture of 27% DMDAS in 3-pentanone. After spin coating the toughener material, the films were baked at 125 ° C, 200 ° C, and 350 ° C N2 for 1 minute each. The following results were recorded: Measured value Dielectric constant (k) 2.36 2.76 2.39 FTIR (CH / SiO ratio) 0.02473 0.0149 0.013 Breaking strength (MV / cm) 5.06 3.07 4.77 Example 5 A conventional baking method of 125 ° C, 200 ° C, and 35 ° t was used to prepare a 6000 person NANOGLASS film that can be obtained from Honeywell International Inc., Sunnyvale, California. Use UV hardening (3 min) at 425 ° C instead of conventional furnace hardening (60 min). And subject it to plasma damage by sequentially subjecting it to the following treatments: 96350.doc • 39- 200531183 Etching: 1000 W / 40 mT / 10 seem C4F8 / 200 seem CO / 300 seem Ar / 100 sccmN2, 40. C (20 seconds) Ashing: 400 W / 45 mT / 100 seem 02, 40 ° C (30 seconds) Perform a toughener treatment using a mixture of 27% DMDAS in 3-pentanone. After spin coating the toughener material, the films were baked at 125 ° C, 200 ° C, and 350 ° C N2 for 1 minute each. Using a UV-cured NANOGLASS as a dielectric material, a copper single damascene patterned structure was constructed using the conventional approach described in Example 2. Before metallization (PVD barrier and Cu seed deposition and Cu plating), some wafers are coated with a toughening agent and then baked at up to 350 ° C. After metallization, all samples were annealed at 200 ° C for 50 min. The presence of voids was determined using a focused ion beam scanning electron microscope. The following results were recorded: a. Blanket wafer measured values Etching and ashing Etching and post ashing toughener treatment Dielectric constant (k) 2.1 2.85 2.25 FTIR (CH / SiO ratio) 0.0082 0.0045 0.0075 Breaking strength (MV / cm) 5.06 3.07 4.77 b. Example 6 of SLM patterned wafers Plasma damage was introduced into a 6000 A furnace hardened NANO GLASS film by sequentially subjecting it to the following treatment, which can be obtained from Honeywell International Inc., Sunnyvale, California bet: I Worm: 1000 W / 40 mT / 10 seem C4F8 / 200 seem CO / 300 seem Ar / 100 sccmN2, 40 ° C (20 seconds) Ashing (one of the following methods) 96350.doc -40- 200531183 〇2 ashing · 400 W / 45 mT / 100 seem 〇2, 4 (Tc (2〇s ^ 70 s) or N2 / H2 ashing · 500 W / 45 mT / 500 seem N2 / 125 seem H2 10 ° C (45 s or 135 s) Toughener treatment was performed using a mixture of 90 / 〇DMDAS in 2-heptanone. After the toughener material was spin-coated, it was applied at 125t, 200 ° C and Each of these films was baked for 1 min in TC n2. Results such as the ashing type Γ were recorded: k (without toughening agent tile to; k (degree of k at the toughening agent ° /. Decrease 〇2, 20 s 2.98 ^ 236 ^ ~ ^ ~ 20.8 02, 30 s 3.11 2A2 -— 22.2 〇2, 70 s 3.53 Z63 25.5 Ν2 / Η2 45 s 3.04— ^ Z5 ~ 17.8 Ν2 / Η2135 s 3.23 '— 2Π 16.4 Example 7 by It is subjected to the following treatments in order to introduce plasma damage into the 6000a furnace to harden the NANOGLASS film: Famous insect carving: 1000 W / 40 mT / lscccin C4f8 / 200 seem CO / 300 seem

Ar/100 sccmN2,40〇C(20秒) 灰化(以下方法之一): 要測試在增韌劑處理前隊列時間(queue tinie)之影響,將 樣品置於周圍條件下歷經1 hr至360 hr之不同時間,接著執 行增勃劑處理。 使用4.5% DMDAS於2-庚_中之混合物執行增韋刃劑處 理。旋轉塗布增韌劑材料後,在125°c、200°C及350°C N2 中將該等薄膜各烘焙1 min。 96350.doc -41 - 200531183 記錄了如下結果: Q-時間(hi〇 k(增韌劑後) 1 2.24 3 2.28 27 2.31 72 2.29 240 2.38 360 2.32 實例8 藉由使其依序經受以下處理將電漿致損引入6000A爐内 硬化 NANO GLASS 薄膜,其可自 Honeywell International Inc ·, Sunnyvale,California構得·· 蝕刻:1000 W/40 mT/10 seem C4F8/200 seem CO/300 seem Ar/100 seem N2, 40°C(20秒)。 灰化(以下方法之一)。 使用1 8% DMDAS於2-庚酮中之混合物執行增韌劑處 理。旋轉塗布增韌劑材料後,在不同溫度下,將該等薄膜 在烤盤上各烘焙1 min。量測碳恢復作為增韌劑處理所添加 之碳與在電漿致損過程中丟失之碳量的百分比。用FTIR來 量測碳量作為CH(2975 enT1)峰值與SiO峰值(1055 cm_1)之 比0 記錄了如下結果: 烘焙溫度 % C恢復 K-值 75〇C 66 2.95 100°C 2.82 125〇C 2.74 150°C 65 2.72 175〇C 136 2.5 200°C 127 2.34 225〇C 127 2.3 96350.doc -42- 200531183 250〇C -----— ----^ 121 _ 2.19 300°C ----上。x --- 127 __^ 2.17 350〇C — 103 2.2 儘管本發明已參照較佳實施例而得以具體展示並描述, 但彼等普通熟習此項技術者不難瞭解··可進行各種變更及 修改而不背離本發明之精神及範疇。意欲將本申請專利範 圍理解為覆蓋了所揭示之實施例、彼等如上所論述之替代 方法及其所有等效物。Ar / 100 sccmN2, 40 ° C (20 seconds) Ashing (one of the following methods): To test the effect of queue tinie before toughener treatment, place the sample in ambient conditions for 1 hr to 360 At different times of hr, a booster treatment was performed. Zengwei knife treatment was performed using a mixture of 4.5% DMDAS in 2-heptyl. After spin-coating the toughener material, the films were baked at 125 ° c, 200 ° C, and 350 ° C N2 for 1 minute each. 96350.doc -41-200531183 recorded the following results: Q-Time (hiOk (after toughening agent) 1 2.24 3 2.28 27 2.31 72 2.29 240 2.38 360 2.32 Example 8 The electricity was charged by subjecting it to the following processes in order Introduced into the 6000A furnace to harden NANO GLASS film, which can be formed from Honeywell International Inc., Sunnyvale, California. Etching: 1000 W / 40 mT / 10 seem C4F8 / 200 seem CO / 300 seem Ar / 100 seem N2 , 40 ° C (20 seconds). Ashing (one of the following methods). Perform a toughener treatment using a mixture of 18% DMDAS in 2-heptanone. After spin coating the toughener material, at different temperatures, Bake these films on a baking tray for 1 minute each. Measure the percentage of carbon added by carbon recovery as a toughener treatment and the amount of carbon lost during plasma damage. Use FTIR to measure the carbon amount as CH The ratio of (2975 enT1) peak to SiO peak (1055 cm_1) 0 recorded the following results: Baking temperature% C recovery K-value 75 ° C 66 2.95 100 ° C 2.82 125 ° C 2.74 150 ° C 65 2.72 175 ° C 136 2.5 200 ° C 127 2.34 225 ° C 127 2.3 96350.doc -42- 200531183 250 ° C -----— ---- ^ 121 _ 2.19 300 ° C ---- up. X --- 127 __ ^ 2.17 350 ° C — 103 2.2 Although the present invention has been specifically shown with reference to preferred embodiments And described, but it is not difficult for those who are familiar with this technology to understand various changes and modifications can be made without departing from the spirit and scope of the present invention. It is intended to understand the scope of this application patent covering the disclosed embodiments, other Equals the alternative methods discussed above and all their equivalents.

96350.doc 43-96350.doc 43-

Claims (1)

200531183 •、申請專利範圍: 1. 種用於阻止在基板上之有機石夕酸鹽玻璃介電薄膜中形 成應力引發空隙之方法,該有機%酸鹽破璃介電薄膜係 、二圖案化以於其中形成通道及渠溝,且該有機矽酸鹽破 璃介電薄膜隨後經受至少一種用以移除至少一部分 存在之含碳部分並減小該有㈣酸鹽玻璃介電薄膜之: 水性的處理,且隨後用金屬填充該等通道及渠溝,且接 著該金屬隨後經受退火處理,該方法包含:在經受至少 :移除先前存在之含碳部分之處理後,但在用金屬填= 忒等通道及渠溝之前,將該有機矽酸鹽玻璃介電薄膜與 一疋濃度之增韌劑組合物接觸且該接觸所歷經之一段時 間係能有效地將至少—些料含碳部分恢復至該有機石夕 酸鹽玻璃介電薄膜並增加該有機矽酸鹽玻璃介電薄膜之 疏水性。 2.=請求項1之方法,其中移除至少一部分先前存在之含碳 部分並減小該有機石夕酸鹽玻璃介電薄膜之疏水性之處理 包含以下處理中之至少一種:蝕刻劑處理、灰化處理、 濕式剝離處理、清洗處理及PEcvd處理。 3 ·如明求項1之方法,其中該有機矽酸鹽玻璃介電薄膜係自 方疋塗式玻璃材料或化學氣相沉積材料所形成。 4.如請求項1之方法,其中該增韌劑組合物包含一用於經由 該有機矽酸鹽玻璃介電薄膜之甲矽烷基化使矽烷醇部分 烧基化或芳基化之組份。 5·如凊求項1之方法,其進一步包含移除未反應之增韌劑組 96350.doc 200531183 一 反應產物及其混合物之後繼步驟。 、、員1之方法,其進一步包含加熱該增加之疏水性的 有機石夕酸鹽玻璃介電薄膜之後繼步驟。 米多孔的。 如請求項1之方法 體上無孔的。 如請求項1之方法 月长項1之方法,其中該有機矽酸鹽玻璃介電薄膜係奈 8. 其中該有機矽酸鹽玻璃介電薄膜係大 9. 其中該增韌劑組合物包含至少一種化 合物,該至少一種化合物具有選自由以下各式組成之群 之式:[-身-]n其中n>2且可為環狀、R3S着叫、 (R3Si)3N ^ R3SlNR-2 ^ R2Si(NR-)2 > RSi(NR')3 ^ RxSiCly . Rxsi(〇H)y、R3Si0SiR,3、RxSi(〇RI)y、⑽⑴咖,^、 RdiHy、RxSi[oc(R,)=R,,]4 x及其組合, y 其中x係1至3範圍内之整數, y係1至3範圍内之整數以使y=4-x, 各R係獨立選自氫及疏水性有機部分, 化為氫或有機部分,且 R為燒基或幾基。 10.如請求項1之方法,其中該增韌劑組合物包含至少—種化 合物,其係選自由以下化合物組成之群:乙醯氧基三甲 基矽烷、乙醯氧基矽烷、二乙醯氧基矽烷、三乙醯氧基 矽煶、二乙醯氧基二曱基矽烷、甲基三乙醯氧基矽烷、 苯基二乙醯氧基矽烷、二苯基二乙醯氧基矽烷、甲基二 乙氧基錢、二甲基二乙氧基錢、三甲基乙氧基石夕烧、 96350.doc 200531183 甲基三甲氧基矽烷、二甲基二甲氧基矽烷、三甲基甲氧 基矽烷、甲基三氯矽烷、二甲基二氯矽烷、三甲基氯矽 少元、甲基碎燒、一甲基碎烧、三τ基梦烧、六甲基二石夕 氮烧、2-三甲基甲石夕烧氧基戊-2-稀-4-酮、n-(三甲基甲石夕 烧基)乙醯胺、2-(三甲基甲矽烷基)乙酸、卜(三甲基甲石夕 烷基)咪唑、三曱基曱矽烷基丙炔酸酯、三甲基甲矽烷基 (二甲基甲矽烷氧基)-乙酸酯、九甲基三矽氮烷、六甲基 二矽氧烷、三甲基矽烷醇、三乙基矽烷醇、三苯基矽烷 醇、第三丁基二甲基矽烷醇、二苯基矽烷二醇、三曱氧 基矽烷、三乙氧基矽烷、三氯矽烷、六甲基環三矽氮烷、 雙二甲胺基二甲基矽烷、雙二乙胺基二甲基矽烷、三(二 甲胺基)甲基矽烷、三(二甲胺基)苯基矽烷、三(二甲胺基) 矽烷、二甲基甲矽烷基二曱醯胺、二甲基曱矽烷基二乙 醯月女、二甲基甲矽烷基二異氰酸酯、三甲基甲矽烷基三 異氰酸酯、及其組合。 11. 12. 13. 14. 如請求項1之方法,其中該增韌劑組合物包含二甲基二乙 醯氧基矽烷。 如睛求項1之方法,其中該增韌劑組合物包含一溶劑,其 係遥自由酮、醚、酯、烴及其組合組成之群。 士 Μ求項1之方法,其中該增韌劑組合物以一選自由液 體、氣相、氣體及電漿組成之群之狀態接觸該有機矽酸 鹽玻璃介電薄膜。 一種用於形成微電子裝置之方法,其包含: )將有機石夕酸鹽玻璃介電薄膜塗布於基板上; 96350.doc 200531183 b)在該有機㈣鹽玻璃介電薄膜中形成通道及渠溝之 圖案,且使該有機石夕酸鹽玻璃介電薄膜經受至少一種用 以移除至少-部分先前存在之含碳部分並減小該有機石夕 酸鹽玻璃介電薄膜之疏水性的處理; C)將該#機石夕酸鹽玻璃介電薄膜與—$濃度之增_ 組合物接觸且該接觸所歷經之—段時間係能有效增加該 有機矽酸鹽玻璃介電薄膜之疏水性; d) 用金屬填充該等通道及渠溝;及 e) 使該金屬經受退火處理。 15. 16. 17. 18. 19. 20. ^請求項14之方法,其中移除至少_部分先前存在之含 石反部分並減小該有機料鹽玻璃介電薄膜之疏水性的處 匕呂以下處理中之至少一種:蝕刻劑處理、灰化處理、 濕式剝離處理、清洗處理及PECVD處理。 如請求項14之方法,其中該增_組合物包含—用於經 由該有機料鹽玻璃介電薄膜之甲找基化㈣烧醇燒 基化或芳基化之組份。 ::求項14之#>去,其進一步包含移除未反應之增韋刃劑 、、且口物、反應產物及其混合物之後繼步驟。 月求項14之方法,其進一步包含加熱該增加之疏水性 的有機矽酸鹽玻璃介電薄膜之後繼步驟。 明求項14之方法,其中該有機矽酸鹽玻璃介電薄膜係 奈米多孔的。 明求項14之方法,其中該有機矽酸鹽玻璃介電薄膜係 大體上無孔的。 、” 96350.doc 200531183 2 1 ·如请求項14之方法’其中該增動劑組合物包含至少一種 化合物,其具有一選自由以下各式組成之群之式: [-SiR2NR’-]n 其中 n>2 且可為環狀、R3SiNR,siR3、 dSi)3N、R3SiNR’2、R2Si(NR’)2、R§i(NR,)3、RxsiCly、 RxSi(OH)y、R3SiOSiR’3、RxSi(〇R’)y、RxSi(〇c〇R,)y、 RxSiHy、RxSi[OC(R’)=R”]4-x及其組合, 其中x係1至3範圍内之整數, y係1至3範圍内之整數以使y=4-x, 各R係獨立選自氫及疏水性有機部分, R’為氫或有機部分,且 R”為烷基或羰基。 22·如請求項14之方法,其中該增韌劑組合物包含至少一種 化合物,其係選自由以下化合物組成之群:乙醯氧基三 曱基石夕烧、乙醯氧基矽烷、二乙醯氧基矽烷、三乙醯氧 基矽烷、二乙醯氧基二甲基矽烷、甲基三乙醯氧基矽烷、 本基二乙醢氧基石夕烧、二苯基二乙醯氧基石夕烧、甲基三 乙氧基矽烷、二曱基二乙氧基矽烷、三甲基乙氧基矽烷、 曱基二甲氧基石夕烧、二曱基二甲氧基石夕烧、三曱基曱氧 基矽烷、甲基三氯矽烷、二甲基二氣矽烷、三甲基氯矽 蛻、曱基矽烷、二甲基矽烷、三甲基矽烷、六甲基二矽 氮烷、2-三甲基甲矽烷氧基戊-2_烯_4_嗣、n_(三甲基曱矽 烷基)乙醯胺、2-(三甲基曱矽烷基)乙酸、(三甲基曱矽 燒基)味、二甲基甲石夕烧基丙快酸g旨、三甲基甲石夕烧基 (二甲基甲矽烷氧基)_乙酸酯、九甲基三矽氮烷、六曱基 96350.doc 200531183 二矽氧烷、三曱基矽烷醇、三乙基矽烷醇、三苯基矽烷 醇、第二丁基一甲基石夕烧醇、二苯基石夕烧二醇、三曱氧 基矽h、二乙氧基矽烷、三氯矽烷、六甲基環三矽氮烷、 雙二曱胺基二甲基矽烷、雙二乙胺基二甲基矽烷、三(二 甲胺基)甲基矽烷、三(二甲胺基)苯基矽烷、三(二甲胺基) 矽烷、二甲基甲矽烷基二甲醯胺、二甲基甲矽烷基二乙 醯胺、二甲基甲矽烷基二異氰酸_、三甲基甲矽烷基三 異氰酸酯、及其組合。 23·如請求項14之方法,其中該增韌劑組合物包含二甲基二 乙酿氧基碎烧。 24. 如请求項14之方法,其中該增韌劑組合物包含 其係選自由酮、醚、酯、烴及其組合組成之群。 25. 如明^項14之方法,其中該增韌劑組合物以一選自由只 體、乳相、氣體及電聚組成之群之狀態接觸該有機石夕s 鹽玻璃介電薄膜。 尸月14之方法,其中該餘刻劑係包含選自由氧、氣 其組合組成之群之原子、離子及/或基團之電衆 勺I至項14之方法,其中該姓刻劑係一濕式餘刻劑,ί 胺'广種選自由以下化合物組成之群之試劑:i 4子、醇胺、胺、三胺、酸、鹼及其組合。 2 8 ·如口月求項14之方法,盆中 碳部分拍法丨 八 ^ ^'一部分先前存在之4 化合物性之處理包含用至少-種選自由如ί 、、且成之群之試劑所進行處 二乙脸\ 处里·乙醇胺、乙二胺 ",二乙基乙二胺、二伸乙基三胺、胺、乙: 96350.doc 20053lm 胺四乙酸、有機酸、乙酸、甲酸、四甲基乙酸銨、硫酸 磷酸、氫氟酸、說化錢、氲氧化敍、氫氧化四f基錄 經胺及其組合,其限制條件為該等組合為不互相中和之 試劑。 29 •一種由包含以下步驟之方法產製之微電子裝置: a) 將有機賴鹽破璃介電薄膜塗布於基板上; b) 在該有機石夕酸鹽破璃介電薄膜中形成通道及準溝之 圖案,且使該有機㈣鹽破璃介電薄膜經受至少—種用以 移除至少一部分先前;fey* «V A _ ^八士 存在之含碳部分並減小該有機矽酸 孤玻璃;丨電薄膜之疏水性的處理; c) 將該有機石夕酸鹽玻璃介電薄膜與-定濃度之"刃劑 組合物接觸且該接觸所歷經之一θ ^ -t- uu r-b ^ 可間係能有效增加該 有機矽酸鹽破璃介電薄膜之疏水性; d) 用金屬填充該等通道及渠溝;及 e) 使該金屬經受退火處理。 96350.doc 200531183, 七、指定代表圖: (一) 本案指定代表圖為:(無) (二) 本代表圖之元件符號簡單說明: 八、本案若有化學式時,請揭示最能顯示發明特徵的化學式: (無) 96350.doc200531183 • Scope of patent application: 1. A method for preventing the formation of stress-induced voids in an organic silicate glass dielectric film on a substrate. Channels and trenches are formed therein, and the organosilicate glass-breaking dielectric film is then subjected to at least one kind to remove at least a portion of the carbon-containing portion present and reduce the silicate glass dielectric film: aqueous And subsequently filling the channels and trenches with metal, and then subjecting the metal to an annealing treatment, the method comprising: after undergoing at least: removal of a pre-existing carbonaceous portion, but after filling with metal = 忒Before waiting for the channel and the trench, the organic silicate glass dielectric film is contacted with a concentration of the toughener composition and the period of time during which the contacting is effective to restore at least some of the carbonaceous portion of the material to the Organic silicate glass dielectric films and increase the hydrophobicity of the organic silicate glass dielectric films. 2. = The method of claim 1, wherein the treatment of removing at least a part of the preexisting carbon-containing portion and reducing the hydrophobicity of the organic petrosate glass dielectric film includes at least one of the following treatments: an etchant treatment, Ashing treatment, wet peeling treatment, cleaning treatment and PEcvd treatment. 3. The method of claim 1, wherein the organic silicate glass dielectric film is formed of a square-coated glass material or a chemical vapor deposition material. 4. The method of claim 1, wherein the toughener composition comprises a component for partially alkylating or arylating silanol through the silylation of the organic silicate glass dielectric film. 5. The method of claim 1, further comprising removing the unreacted toughener group 96350.doc 200531183 a subsequent step of the reaction product and the mixture thereof. The method of claim 1, further comprising the subsequent step of heating the increased hydrophobic organic silicate glass dielectric film. Rice is porous. The method as in item 1 has no holes in the body. The method of claim 1, wherein the organic silicate glass dielectric film is Nai 8. The organic silicate glass dielectric film is large 9. Wherein the toughener composition contains at least A compound having at least one compound selected from the group consisting of: [-body-] n where n > 2 and may be cyclic, R3S called, (R3Si) 3N ^ R3SlNR-2 ^ R2Si ( NR-) 2 > RSi (NR ') 3 ^ RxSiCly. Rxsi (〇H) y, R3Si0SiR, 3, RxSi (〇RI) y, ⑽⑴ Coffee, ^, RdiHy, RxSi [oc (R,) = R, ,] 4 x and combinations thereof, y where x is an integer in the range of 1 to 3, y is an integer in the range of 1 to 3 such that y = 4-x, and each R is independently selected from hydrogen and a hydrophobic organic moiety, Into hydrogen or an organic moiety, and R is an alkyl group or a plurality of groups. 10. The method of claim 1, wherein the toughening agent composition comprises at least one compound selected from the group consisting of ethoxysilane trimethylsilane, ethoxysilane, diethylfluorene Oxysilane, triethoxysilane, diethoxysilane, silane, methyltriethoxysilane, phenyldiethoxysilane, diphenyldiethoxysilane, Methyl diethoxy, dimethyl diethoxy, trimethyl ethoxylate, 96350.doc 200531183 methyl trimethoxysilane, dimethyl dimethoxysilane, trimethyl methyl Oxysilane, methyltrichlorosilane, dimethyldichlorosilane, trimethylchlorosilicon element, methyl crushed burn, monomethyl crushed burn, tri-taumenyl burn, hexamethyldisulfide burn , 2-trimethylmethoxanylpentan-2-en-4-one, n- (trimethylmethoxanyl) acetamidamine, 2- (trimethylsilyl) acetic acid, (Trimethylmethoxyl) imidazole, trimethylsilylsilylpropionate, trimethylsilyl (dimethylsilyloxy) -acetate, nonamethyltrisilyl nitrogen Alkane, hexamethyl Disiloxane, trimethylsilanol, triethylsilanol, triphenylsilanol, third butyldimethylsilanol, diphenylsilanediol, trimethoxysilane, triethoxy Silane, trichlorosilane, hexamethylcyclotrisilazane, bisdimethylaminodimethylsilane, bisdiethylaminodimethylsilane, tris (dimethylamino) methylsilane, tris (dimethyl) Amine) phenylsilane, tris (dimethylamino) silane, dimethylsilyldiamine, dimethylsilyldiethylamine, dimethylsilyldiisocyanate, trimethylsilyl Silyl triisocyanate, and combinations thereof. 11. 12. 13. 14. The method of claim 1, wherein the toughener composition comprises dimethyldiethyloxysilane. A method according to item 1, wherein the toughening agent composition comprises a solvent consisting of a group consisting of ketone, ether, ester, hydrocarbon, and a combination thereof. The method of claim 1, wherein the toughener composition contacts the organic silicate glass dielectric film in a state selected from the group consisting of a liquid, a gas phase, a gas, and a plasma. A method for forming a microelectronic device, comprising:) coating an organic silicate glass dielectric film on a substrate; 96350.doc 200531183 b) forming a channel and a trench in the organic phosphonium glass dielectric film Patterning, and subjecting the organic petrolite glass dielectric film to at least one treatment to remove at least a portion of the pre-existing carbon-containing portion and reduce the hydrophobicity of the organic petrolite glass dielectric film; C) contacting the #machine stone silicate glass dielectric film with the-$ concentration increase composition and the time period during which the contacting is effective to increase the hydrophobicity of the organic silicate glass dielectric film; d) filling the channels and trenches with metal; and e) subjecting the metal to an annealing treatment. 15. 16. 17. 18. 19. 20. ^ The method of claim 14, wherein at least a portion of the pre-existing stone-containing anti-portion is removed and the hydrophobicity of the organic material salt glass dielectric film is reduced. At least one of the following processes: an etchant process, an ashing process, a wet peeling process, a cleaning process, and a PECVD process. A method as claimed in claim 14, wherein the composition comprises-a component for methylation, sintering, alcoholation, or arylation via methylation of the organic material salt glass dielectric film. :: 求 项 14 的 # To go, it further comprises the step of removing unreacted Zengwei knife agent, and the mouthpiece, reaction products and mixtures thereof. The method of claim 14, further comprising the step of heating the increased hydrophobic organic silicate glass dielectric film. The method of claim 14, wherein the organic silicate glass dielectric film is nanoporous. The method of claim 14, wherein the organic silicate glass dielectric film is substantially non-porous. "" 96350.doc 200531183 2 1 · The method of claim 14 'wherein the accelerator composition comprises at least one compound having a formula selected from the group consisting of: [-SiR2NR'-] n where n > 2 and may be cyclic, R3SiNR, siR3, dSi) 3N, R3SiNR'2, R2Si (NR ') 2, R§i (NR,) 3, RxsiCly, RxSi (OH) y, R3SiOSiR'3, RxSi (〇R ′) y, RxSi (〇c〇R,) y, RxSiHy, RxSi [OC (R ') = R ”] 4-x and combinations thereof, where x is an integer in the range of 1 to 3, and y is An integer in the range of 1 to 3 such that y = 4-x, each R is independently selected from hydrogen and a hydrophobic organic moiety, R ′ is hydrogen or an organic moiety, and R ″ is an alkyl group or a carbonyl group. The method of 14, wherein the toughening agent composition comprises at least one compound selected from the group consisting of ethoxytrisyl oxalate, ethoxysilane, diethoxysilane, triacetate Ethoxysilane, diethoxydimethyl silane, methyltriethoxysilane, benzyl dioxysilane, diphenyl diethoxysilane, methyltriethyl Silicon oxide Alkane, difluorenyldiethoxysilane, trimethylethoxysilane, fluorenyldimethoxylithium oxalate, difluorenyldimethoxylithium oxalate, trifluorenyloxysilane, methyltrichloro Silane, dimethyldisilane, trimethylchlorosilane, fluorenylsilane, dimethylsilane, trimethylsilane, hexamethyldisilazane, 2-trimethylsilyloxypentane-2 _Ene_4_fluorene, n_ (trimethylphosphonium silyl) acetamide, 2- (trimethylphosphonium silyl) acetic acid, (trimethylphosphonium silyl) flavor, dimethylmethylate Glyoxylic acid g, trimethylmethoxanyl (dimethylsilyloxy) _acetate, nonamethyltrisilazane, hexafluorenyl 96350.doc 200531183 disiloxane, trimethyl Fluorenylsilanol, triethylsilanol, triphenylsilanol, second butyl monomethyllithium alcohol, diphenylsilyl alcohol, trimethoxysilyl alcohol, diethoxysilyl alcohol, Trichlorosilane, hexamethylcyclotrisilazane, bisdimethylamidodimethylsilane, bisdiethylaminodimethylsilane, tris (dimethylamino) methylsilane, tris (dimethylamino) ) Phenylsilane, tris (dimethylamino) ) Silane, dimethylsilyldimethylamine, dimethylsilyldiethylamine, dimethylsilyldiisocyanate, trimethylsilyltriisocyanate, and combinations thereof. 23. The method according to claim 14, wherein the toughening agent composition comprises dimethyl diethyl oxy crush. 24. The method according to claim 14, wherein the toughening agent composition comprises a system selected from the group consisting of ketones , Ethers, esters, hydrocarbons, and combinations thereof. 25. The method of item 14, wherein the toughener composition is contacted in a state selected from the group consisting of a body, a milk phase, a gas, and an electropolymer. The organic stone s salt glass dielectric film. The method of corpse month 14, wherein the aftermath agent is a method comprising items selected from the group consisting of atoms, ions, and / or groups consisting of oxygen, gas, and combinations thereof to item 14, wherein the last name agent is a Wet afterglow agents, amines are a wide variety of reagents selected from the group consisting of: i 4 sub, alcohol amines, amines, triamines, acids, bases, and combinations thereof. 2 8 · The method of finding item 14 in the mouth and month, part of the carbon in the basin. Part of the pre-existing 4 compound treatment includes using at least one kind of reagent selected from the group consisting of Proceedings of Diethyl Ethyl Alcohol \ Ethanolamine, Ethylenediamine ", Diethylethylenediamine, Diethylenetriamine, Amine, Ethyl: 96350.doc 20053lm Amine Tetraacetic Acid, Organic Acid, Acetic Acid, Formic Acid, Tetramethylammonium acetate, phosphoric acid sulfuric acid, hydrofluoric acid, sodium hydroxide, sulfonium oxide, tetrahydroxide hydroxide, and combinations thereof are subject to the limitation that these combinations are reagents that do not neutralize each other. 29 • A microelectronic device produced by a method comprising the following steps: a) coating an organic lysate salt-breaking dielectric film on a substrate; b) forming a channel and Quasi-ditch pattern, and subjecting the organic phosphonium salt-breaking dielectric film to at least one-to remove at least a part of the previous; ; Treatment of the hydrophobicity of the electrical thin film; c) contacting the organic petrosate glass dielectric film with a "binder composition of a certain concentration" and one of the contact θ ^ -t- uu rb ^ The system can effectively increase the hydrophobicity of the organosilicate glass-breaking dielectric film; d) filling the channels and trenches with a metal; and e) subjecting the metal to an annealing treatment. 96350.doc 200531183, 7. Designated representative map: (1) The designated representative map of this case is: (none) (2) The component symbols of this representative map are briefly explained: 8. If there is a chemical formula in this case, please disclose the features that can best show the invention Chemical formula: (none) 96350.doc
TW093129863A 2003-10-08 2004-10-01 Repairing damage to low-k dielectric materials usi TWI358093B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51002403P 2003-10-08 2003-10-08
US10/940,682 US7709371B2 (en) 2003-01-25 2004-09-15 Repairing damage to low-k dielectric materials using silylating agents

Publications (2)

Publication Number Publication Date
TW200531183A true TW200531183A (en) 2005-09-16
TWI358093B TWI358093B (en) 2012-02-11

Family

ID=34555813

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093129863A TWI358093B (en) 2003-10-08 2004-10-01 Repairing damage to low-k dielectric materials usi

Country Status (3)

Country Link
EP (1) EP1676303A2 (en)
KR (1) KR101064336B1 (en)
TW (1) TWI358093B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10619261B2 (en) * 2017-03-27 2020-04-14 Ulvac, Inc. Manufacturing method for electronic component

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US557624A (en) * 1896-04-07 And charles w
AU3055599A (en) * 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica

Also Published As

Publication number Publication date
TWI358093B (en) 2012-02-11
KR20070037562A (en) 2007-04-05
EP1676303A2 (en) 2006-07-05
KR101064336B1 (en) 2011-09-16

Similar Documents

Publication Publication Date Title
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) Method for making toughening agent materials
JP5592327B2 (en) Active chemical methods for enhancing the material properties of dielectric films
US7678712B2 (en) Vapor phase treatment of dielectric materials
JP2007508691A (en) Repair of damage in low dielectric constant dielectric materials using silylating agents
JP5307963B2 (en) Method for restoring hydrophobicity in dielectric films and materials
KR100907387B1 (en) Curing dielectric films under a reducing atmosphere
JP6017935B2 (en) Method for removing carbon from organosilicate materials
JP5161571B2 (en) Treatment material
US8283260B2 (en) Process for restoring dielectric properties
JP2007321092A (en) Precursor composition for porous film and its preparation method, porous film and its making method, and semiconductor device
JP2006500769A (en) Interlayer adhesion promoter for low-k materials
JP2006265350A (en) Porous membrane precursor composition, its preparing method, porous membrane, its manufacturing method and semiconductor device
TW200531183A (en) Repairing damage to low-k dielectric materials using silylating agents

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees